Next Article in Journal
Hardware-Described Nanoscale Carry-Save Adder in Quantum-Dot Cellular Automata: An Optimised Design and Evaluation Framework
Previous Article in Journal
Surface Ion Trap for Fast Microwave Gates
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Perspective

A Perspective on Analog and Mixed-Signal IC Design Amid Semiconductor Paradigm Shifts

by
Gabriele Manganaro
MediaTek Inc., Woburn, MA 01801, USA
Chips 2025, 4(4), 42; https://doi.org/10.3390/chips4040042
Submission received: 22 August 2025 / Revised: 1 October 2025 / Accepted: 3 October 2025 / Published: 9 October 2025

Abstract

This position paper extends the author’s keynote address from the 2024 IEEE European Solid-State Electronics Research Conference, offering a perspective on effective strategies for the advancement of analog and mixed-signal (AMS) integrated circuit (IC) design. It is argued that traditional methodologies, characterized by their focus on transistor-level optimization within individual sub-blocks, are insufficient for satisfying the stringent performance and power consumption demands of contemporary information and communication technologies (ICT), especially in the context of expanding AI applications. Consequently, a paradigm shift is necessary, emphasizing “full-stack” solutions that prioritize comprehensive system-level analysis and aim to minimize physical resources and reduce complexity by innovating across the established boundaries of design abstraction levels. Building on prior work, this manuscript offers a more thorough justification for the proposed full-stack analog design methodology, supported by broader evidence and more comprehensive discussion. It also identifies key considerations regarding EDA and workforce development as topics for future work.

1. Introduction

1.1. Growing Complexity, Engineering Challenges

For decades, the relentless growth of information and communication technology (ICT) has driven hardware and software innovation [1,2]. Today, the demand for greater data processing, storage, and communication, especially for AI applications, is accelerating at an incredible pace [3,4,5,6]. But the present trends are unsustainable without addressing several technological obstacles [1,2,4], impacting both analog and digital IC design.
For a long time, an integrated system’s processing power was directly tied to its transistor count, a trend fueled by Moore’s Law. But recently, the energy and cost benefits of feature scaling have slowed down [7,8]. “Scaling in” the growing processing capability into a single SoC has reached its limits, since the “reticle limit” constrains a single IC’s area to around 800 mm2 [5]. Technologies like wafer-scale and 3D integration, and a multitude of heterogenous integration (HI) options, aim to sustain functional growth by “scaling out” of SoC’s reticle limitations and into systems made of multiple chiplets, while managing costs [2,6,7,8,9,10]. Partitioning functionality over multiple co-packaged chiplets is complex yet promising in terms of containing the issues with limited physical resources, namely, Performance, Power, and Area (PPA), for some time. However, the projected processing power growth rate demanded by several applications largely outpaces the containment capability of physical resources achievable in this manner [2,4]. Not all processing can be segregated into ever-expanding data centers and, while on a gigantically smaller scale, edge processing is subject to a not much less concerning exponential. It is critically important to develop additional new alternatives that make more efficient use of the available physical resources.

1.2. Full-Stack Engineering

It is possible to cope with growing PPA demands by adopting a “full-stack” engineering approach. This is about solving design challenges at each and every hardware abstraction level, from circuits and architecture to signal processing and algorithms, painstakingly surfacing and eliminating redundance, relaxing stringent trade-offs, and overcoming obstacles instead of adding more transistors through device scaling and heterogeneous integration (HI).
An example of “full-stack engineering” in the context of digital processor design is illustrated by a recent breakdown of a 10-year, 1000-fold performance improvement (in tera-ops) in GPUs for AI [11,12]. It showed that such amazing performance gains came from a variety of sources: 2× from pruning neural net sparsity, 12.5× from optimizing complex instructions execution by reducing fetch and decode overhead, 16× from a smarter choice of numeric representation, and only a residual 2.5× from physical scaling from 28 nm to 5 nm.
Similarly, in software engineering, developers have long battled challenges like explosive code size, complexity, and inefficiency [13,14,15]. Many causes have been identified, from software design methodology and sub-optimal information representation to accelerated development schedules and the operation of large design teams [13]. However, systematic methods, at the algorithmic and instructional levels, also involving data structure optimization and leveraging specific hardware architectures, etc., have been developed to achieve orders of magnitudes of improvements without hardware upgrades [15].
AI contributes to increasing hardware and software complexity through expanding machine learning models and training sets [4]. While AI also helps automate and speed up design, the current outcomes often result in bloated, inefficient designs, even with human-driven optimization [16,17].
The conclusion is clear: while there is no “silver bullet” solution, managing complexity requires an all-level effort, with co-design from the device level all the way up to the application level. Taming the obstacles of slowed lithography scaling is possible, but without a full-stack approach, the energy requirements and the number of transistors and chiplets will continue to grow at an unsustainable pace.

1.3. Analog Circuits Are Subject to the Same Driving Forces—What Can Be Done?

These conflicting trends of growing complexity and a slowdown in scaling do not spare crucial classes of Analog and Mixed-Signal (AMS) systems and are often the direct result of trends originating from digital systems. For instance, the rapid rise of distributed computing, whether it is cloud-based (data centers) or decentralized and heterogenous, puts enormous demands on wired [18,19] (including photonics [20]) and wireless infrastructure [21,22], mandating the development of increasingly complex high-performance AMS systems. Moreover, HI requires internal die-to-die/chiplet data links and longer-reach interfaces to the outside of the HI, also requiring more high-performance AMS systems [9]. Not to mention the proliferation of analog-to-digital interfaces in emerging cyber–physical systems [23].
AMS is hence subject to equivalent trends in requirements that, adopting the present design approaches, drive up power consumption, transistor count, and complexity, just like in digital systems, especially when these AMS systems are also directly needed by the latter.
In addition to that, increasing performance demands on individual AMS processing systems are addressed through larger array processing architectures and techniques such as interleaving, multi-path filtering, beamforming, neural nets, etc., where the required end functionality and performance is achieved by parallelizing similar or identical sub-functions and repeated elements. Consequently, every reduction in physical resources in the array’s individual elements (conversely, each waste or redundance) multiplies in line with the array’s size.
Analogous “full-stack” design methods can then be a viable path for addressing the emerging challenges of mixed-signal IC designs. Drawing a parallel somewhat inspired by the example of the GPU’s evolution, where a digital processor represents numeric data in integer form or in floating point, correspondingly, an AMS system processes continuous-value signals, or quantized ones with different degrees of granularity, or in continuous-time versus uniformly sampled or non-uniformly sampled and so on. Where a Tensor Processing Unit (TPU) uses specific architectures to be PPA-optimal compared to a GPU for effective tensor processing, analogously, an AMS data acquisition system in a motion sensing application can be especially architected to wake up from sleep mode to digitize the input when a particular sensed pattern occurs, as opposed to using a traditional but far less efficient architecture that is constantly and uniformly sampling the sensors’ output, deferring the input recognition and corresponding action to a digital back-end processor. Many analogs arise upon deeper observation.
But, unlike the case of digital design, or software engineering, full-stack AMS methods are not nearly as developed and often only superficially pursued. The main thesis of this paper is that this is a rapidly widening design and methodology gap urgently requiring our technical community’s attention. It is imperative to rethink mixed-signal IC design, beyond a focus on transistor-level circuits, often using only standard functions (e.g., an amplifier, a comparator, etc.) and few established architectures. It is necessary to broaden AMS design innovation to include and combine all levels of abstraction and, where it makes sense, to fully adopt ideas and techniques from adjacent disciplines such as signal processing or system theory that are often neglected by analog designers.
Fragmented efforts in this direction exist in the literature and examples of approaches solving analog design challenges at various abstraction levels are emerging. While far from being exhaustive, some of these analog techniques are illustrated below to provide a starting point for further study and support this paper’s views. Combining and adopting these methodologies, and exploring others along the same principles, provides our technical community with a promising way to move forward, similarly to parallels found in modern digital design or software engineering.
Undoubtedly, any methodology shift is accompanied by challenges and needs to be put in the context of other disciplines such as design automation or the organization and operation of design teams.
As an aside, it is important to point out one more practical concern. In the West, the talent pipeline for IC design, particularly analog, is shrinking rapidly. Ironically, as governments in the US, EU, and UK pour incentives into reshoring semiconductor manufacturing, due to a scarcer number of college students pursuing IC design (and, correspondingly, of faculty positions), their current leadership in chip design is declining even more quickly [24].
All of this is fully acknowledged, and some initial thoughts are shared toward the end of this paper, hoping to engage the reader in overcoming such difficulties.

2. Tackling Complexity: A Multi-Level Approach

In digital hardware, complexity is managed by using a hierarchy of abstraction levels, including physical level, transistor/circuit/switch level, timing/gate level, functional/register-transfer level, architecture/behavioral level, system level, etc.
Similar abstractions are commonly extended to complex mixed-signal systems such as data converters, DLLs/PLLs, and SERDESs [25,26,27,28]. But analog designers often place their primary focus on the transistor and physical levels. This is also reflected in higher education, and it facilitates production efficiencies based on re-usable/standard IP development in industry. This alone is no longer optimal [29]. In the following, examples of innovative approaches addressing some of these limitations by operating at, and even blurring the boundaries between, multiple abstraction levels, ultimately reducing redundancy and enhancing efficiency, are presented.

2.1. System Level

A system-level transformation can overcome stringent circuit-level requirements, reduce redundancy, and improve PPA. A classic data acquisition chain is shown in the example of Figure 1, where an analog signal vin is digitized to produce a corresponding code D. A sample-and-hold (S/H) is clocked by ck at frequency fs and its capacitive input load requires a low impedance buffer to drive it. Anti-aliasing (AA) is implemented by a cascade of continuous-time (CT) filter cells with transfer function H(s). The N-bit ADC, clocked at frequency fs, produces D.
A direct transistor-level implementation of individual functions requires careful consideration of noise, linearity, and area budgets for each block to meet combined specifications on D, resulting in total power consumption P. The common practice is to give each block its own set of specs, with a generous margin, and assign it to a different designer. Ideally, once each block is individually optimized and then integrated, minimal refinements should be needed. While common practice often diverges from this ideal strategy, there can be room for deeper methodology improvement. Instead of rushing to size transistors, designers should spend more time and effort on system-level implementation.

2.1.1. Merge and Relocate Functions

Transforming the signal chain by relocating some sensitive blocks and merging functions can lead to significant PPA improvements and design simplifications. For example, it turns out, as described in [30,31] that this chain can be modified and simplified to meet the exact same result on D but relaxing multiple constraints. It is possible to merge the CT AA filtering with the ADC, relaxing their requirements of both, as in the resulting pipelined architecture shown in Figure 2. Moving the S/H from the front to the back of the pipeline relaxes accuracy and impedance requirements, eliminating the need for a buffer [30,31]. Inherent anti-aliasing is obtained by merging filters and ADC and, more importantly, the scheme shown in Figure 2 achieves lower noise, lower area, and better linearity for the same power dissipation P compared to Figure 1. Finally, as demonstrated in [32], the sampling clock’s phase noise requirements of the internal S/H are also relaxed, which in turn reduces the clock generator’s power consumption.
Extensive benchmarking between CT pipelined ADC prototypes and comparable ADCs as well as active filters can be found in [30,32]. While there are a few caveats with respect to what is included or not in these comparisons, a rough estimate of the savings can be ascertained through comparison of common figures of merit normalizing dynamic performance (noise and linearity) and sample rate for power consumption. The CT pipelined ADC prototypes outperforms most classic ADC architectures by as little as 1~2 dB (a 20% to 50% in power savings) and much as 10 dB (nearly 10 times lower power) and all active filters by at least 12 dB (15 times lower power) and up to more than 30 dB (nearly 100 times lower power), while demonstrating >3 dB reduction in sampling jitter sensitivity. When combining the areas of comparable ADCs together with analogous filters, the CT pipelined ADCs also save die area ranging between ~20% to well over 60%. Additionally, the nature of the described block-level transformations suggests that trade-offs should be explored, namely, that area could also be reduced at the expense of dynamic performance and power efficiency.
There are limitations for a CT pipelined ADC. First, it is not amenable to being time-interleaved. Second, while it can be made to work for an oversampling ratio as low as four, it does require a high frequency clock. Therefore, the high-frequency performance of this architecture is ultimately limited by the speed of the process technology.
Generalizing the effectiveness of a system-level transformation is not possible, as the benefits are often context-dependent. In the above example, when merging filter blocks with sub-ADCs, the resulting relaxation in filtering requirements is highly dependent on the stage’s gain (G): a higher gain allows for a greater reduction in the filter’s noise specification and power consumption. Despite disclaimers, such transformations hold significant potential for overcoming critical performance trade-offs and are often overlooked.
There are other ways to alleviate the PPA requirements at this abstraction level, such as the next approach, which relies on the unique characteristics of certain signals.

2.1.2. Specialize the Hardware to Better Fit to the Signal or the Application Characteristics

In a uniformly sampled system, a signal is sampled at fixed, regular intervals, as shown in Figure 3a. Instead, in event-driven analog systems, sampling occurs when specific signal events take place, such as level-crossing (Figure 3b), leading to non-uniform sampling (NUS) [33].
In a standard uniformly sampled system, signal reconstruction of x(t) from x(kTs) at fs is based on Nyquist’s theorem. But for reconstructing NUS x(ti), the sampling instants sequence t i i N must accompany the sampled voltages sequence x t i i N . For an “active signal” regularly and often crossing quantization levels, such as modulated communication signals, the sampled points in Figure 3a,b are comparable, so uniform sampling is better. But signals that are sparse in time or frequency, like biomedical signals (e.g., electro-cardiograms, electro-encephalograms, etc.), seismic signals, sonars, or speech, among others, are more efficiently represented with less data points using NUS. This reduction in data volume results in a reduction in system resources like power and area, as demonstrated in several studies. For example, in [34,35], a uniformly sampled data acquisition system, as in Figure 1, is replaced by the NUS architecture shown in abstract form in Figure 4.
First, the continuous-time (CT) input vin(t) is filtered by a very relaxed CT anti-aliasing (AA) filter, H(s), and digitized by a NUS ADC, which consists of two event-driven blocks: a special N-bit quantizer and a digital AA filter. The quantizer samples the analog input only when it crosses the quantization levels at t = ti and outputs an N-bit word x(ti) representing the input level, together with a quantized/digital timestamp t ^ i for that instant ti. Since the input is unambiguously determined by both input level x(ti) and time instant t ^ i , then NUS does not alias. While quantization introduces nonlinear distortion, this appears as high-frequency harmonics that do not alias back into the signal band [33]. The digital signals x(ti) and t ^ i are then processed by an event-driven digital AA filter G(s), which suppresses the out-of-band (OOB) harmonics, thus reducing the quantization distortion of (x(ti)), t ^ i ). Finally, to make this digitized signal compatible with a standard, uniformly sampled Digital Signal Processor (DSP), the NUS ADC’s output is resampled at a fixed rate fs. This is performed by block P(z), which produces the uniformly clocked output D. The uniform resampling by P(z) does cause aliasing, but because the quantization distortion has already been suppressed by G(s) before resampling, then any remaining residue that aliases into the signal band is minimal. The above system provides two benefits: (a) the CT AA filtering H(s) is substantially relaxed compared to the case of Figure 1 and (b) G(s) reduces the quantization distortion before resampling at fs, hence increasing the in-band signal-to-quantization ratio (SQNR) of D beyond the theoretical maximum set by the number of bits N of a uniformly sampled quantizer ( S Q N R d B 6.02 · N + 1.76 ).
The main limitations of this approach originate from the special quantizer determining x(ti) and t ^ i . This tracks x(t), determining the time ti at which it crosses one of the reference levels (x(ti)), and it requires voltage comparators and a time-to-digital converter (TDC) as the time quantizer. The comparators sensing x(t) cannot employ fast and power-efficient clocked architectures, such the well-known dual-tail strong arm comparator, and are subject to signal-dependent delays, offsets, and other shortcomings [35]. But when a reference level is crossed, a pulse triggers the time quantizer that produces t ^ i . Fast switching in fine lithography CMOS allows for the resolution of sub-pico-second events and is one of the strengths of this approach. But the quantization of t ^ i (aggravated by additional time jitter) produces residual quantization noise degradation. An in-depth analysis of the theoretical and practical limitations of the above approach, including the relationship between voltage and time quantization, residual aliasing, and noise degradation, can be found in [34,35].
The power efficiency advantage of NUS over comparable uniform sampling depends entirely on the sparsity of x(t) and it can be between 4 to >10 times better for life-monitoring signals (e.g., ECG, EEG), speech, motion sensing, etc. [33].
NUS is not just useful for sparse signals; in appropriate conditions, it can also be a powerful tool for processing non-sparse signals, offering significant savings in power and area. For instance, a well-known challenge with under-sampling a high-frequency signal is that it causes out-of-band (OOB) signals, blockers, and noise to alias into the desired signal band. To prevent this, traditional systems require a selective band-pass (BP) filter for the input signal before sub-sampling, which can be problematic to implement. A different approach using NUS down-conversion followed by a NUS BP blocker rejection filter has been proposed in [36], enabling a narrowband sub-sampling receiver at low mmWave frequency in 28 nm. The NUS down-converter samples the input RF signal at the carrier frequency, down-converting it to baseband. But this NUS spreads the aliased OOB blockers and noise away from baseband, rather than aliasing them into it. The subsequent NUS FIR BP filter suppresses the OOB spurious content since it has passbands far away from baseband. Its filtered output is then sub-sampled by a low-rate ADC with relaxed specifications [36], which saves power and area (up to five times smaller area compared to a traditional approach [36]).
This may not always be possible due to stringent trade-offs. The effectiveness of spreading the OOB blockers’ power away from baseband depends on the relative range of instantaneous frequency variation in the NU sampling, the filtering characteristics, and the ability of the sub-sampling ADC to process residual spurious signal added to the intended narrowband signal [37,38].

2.1.3. Transform the Signal to Fit or Simplify the Hardware

Returning to sparse signals and taking advantage of a priori knowledge of the signal characteristics, another interesting approach is compressive sampling, which merges signal conditioning, compression, and sampling into one, eliminating redundant hardware resources [39,40,41]. While many compressive sampling schemes have been proposed (some using NUS!) [40,41,42], they all follow a similar principle: the original sparse input signal is transformed into a new one (compressed) that is not sparse by changing its basis but relaxing the hardware system intended for its processing. The goal is to preserve most of the original information while making the new signal easier to process. This analog compression, often using signal bases like binary sequences to simplify hardware implementation, allows for more efficient digitization. For example, the compressed signal can be digitized with a much lower sample-rate ADC or a lower dynamic range, saving power and area [42,43,44,45,46,47]. A disadvantage, however, is that de-compressing/restoring the original signal requires digital post-processing, which adds area, power and introduces latency.
A practical example of compressive sampling is reported in [47] in the context of a brain–computer interface (BCI). By selectively discarding samples from neural signals that carry little information, on average, the output data rate is reduced 146 times. When benchmarked against other BCIs of comparable performance, the prototype yields a greater than 3.5-fold reduction in area per channel and a 16.8-fold reduction in power consumption per channel [47].

2.1.4. Capture the Information, Not the Data

To summarize, substantial reductions in analog power, area, and complexity can be achieved through system-level transformations. This involves, among others:
  • Combining separate functions into more efficient hybrid structures.
  • Relocating sensitive circuit blocks to resolve PPA bottlenecks.
  • Re-framing the entire processing chain by leveraging the signal’s unique characteristics.
Extending the latter concept even further, analog-to-information systems aim to directly extract key features from sensory signals before digitization. Instead of processing a complete ECG waveform, for instance, the system might only extract heartbeat information. Similarly, a radio signal’s power level or direction-of-arrival could be measured, with only this crucial information being digitized. This approach bypasses the need to digitize and process the entire signal, leading to significant efficiency gains [41,44,45].

2.2. Functional Level

Some functional simplifications were already shown in the previous sub-section. As exemplified in the next sub-section, another approach involves using digital assistance to relax the requirements of analog functions. Furthermore, some computational architectures are naturally better implemented in AMS systems.

2.2.1. Analog Versus Digital

For some ICT applications, a high-linearity or high-bandwidth amplifier traditionally needs a power-hungry, large-area Class-A circuit. However, it is possible to increase power and area efficiency by intentionally compromising the circuit’s intrinsic analog linearity. The required linearity can then be restored through linearization, provided the additional PPA needed for the linearization is much smaller than the savings from making the original analog circuit less linear. While analog linearization techniques are suitable for mature processes with higher voltage headroom, the opposite is often the case in modern, finer-lithography processes. For the latter, digital circuits are smaller and more power-efficient, making a complex digital linearization scheme a more resource-efficient solution. Techniques such as digital pre-distortion and post-distortion perform the linearity correction in the digital domain, reducing total system power and area for individual analog functions such as amplifiers, mixers, data converters, and possibly entire analog signal processing chains, such as those in a communication system’s transmitter or a receiver [46,47,48,49,50,51,52].
The practical implementation of digital pre- and post-distortion is far more complex than the concept suggests. Effective cancelation hinges on an accurate mathematical model of the system’s nonlinearity, which may also need to track variations in process, voltage, and temperature (PVT) in real-time to limit spectral regrowth. This creates a critical trade-off: more sophisticated models, particularly those accounting for memory effects, achieve deeper distortion cancelation but demand larger and more power-hungry digital compute engines. Customized implementations using look-up tables can effectively simplify the digital implementation. Alternatively, modeling the nonlinearity with a neural network has been shown to improve both model fitness and circuit implementation [52,53].
Furthermore, the challenge extends to data converters and other analog blocks. Nonlinearity generates both in-band intermodulation products and high-frequency harmonics. The latter requires data converters with exceptionally high sample rates and analog bandwidth to process the full spectrum or, at least, contain aliasing [54,55,56,57].
The effectiveness of power reduction when employing distortion compensation techniques is highly application specific. An example is the high-power base station transmitter, where the power amplifier (PA) consumes most of the power. To maintain the required signal linearity without compensation, these PAs must be operated far below their maximum power (“backing off”). This leads to extremely poor power efficiency, often less than 10%. The implementation of digital pre-distortion (DPD) allows the PA to operate much closer to its efficient saturation region, bringing the PA’s efficiency to approximately 25%. While that may appear modest in relative terms, for a component consuming 80 W or more, this improvement translates into a non-negligible reduction in absolute power consumption. This directly lowers a base station’s operational expenses by reducing both electricity and cooling costs. On the other hand, the absolute power savings are negligible in smaller power transmitters such as those used in small/pico-cell base stations where only traditional analog linearization is used instead of DPD [56,57].

2.2.2. When a Few Neurons Are Better than a Microprocessor

With a completely different functional-level approach, machine learning-based techniques have been explored to improve hardware efficiency. Recent work has shown that embedding small neural networks (NNs) can simplify AMS functions. For example, in [58], a single neuron was embedded in the integral control path of a clock and data recovery (CDR) loop controller. This resulted in smaller overheads, better robustness, and wider-range operations. In a more extensive application, the authors of [59] individually replaced many AMS functions in a high-speed SERDES with simpler alternatives. They used a specific encoding method to replace a multi-tap feed-forward equalizer (FFE) in the transmitter with a more compact two-tap FFE. More significantly, in the receiver, a 7-bit high-speed ADC and its back-end DSP were replaced by simpler AMS feature extraction circuits and a neural classifier. This approach yielded multiple benefits for PPA. The use of machine learning in AMS is also beginning to emerge in other areas. Early examples of machine learning-based calibration for ADCs, as seen in [60,61,62], promise to reduce the physical resources required to replace equivalent but more complex traditional hardware.

2.3. Transistor Level

2.3.1. When Less Is More

Many opportunities to improve implementation efficiency and net performance exist at the transistor level. This is especially true when, instead of implementing individual functions with standard circuits, multiple functions can be merged into more compact implementations. The BJT’s Darlington configuration, or stacking a cascode MOS transistor over the drain of another MOS transistor in a common source amplifier, are undergraduate-level examples of merging two cascaded amplification stages into one. Fewer transistors and less interconnect and biasing strays are merged into hybrid structures, efficiently implementing a combination of functions. These core ideas can be greatly extended.
For example, in the amplifier introduced in [63] and shown in Figure 5, all transistors are actively processing the differential input signal v i n = v i n + v i n to create two complementary amplified differential outputs v 1 = v 1 + v 1  and v 2 = v 2 + v 2 , virtually doubling the available output voltage headroom. As described in detail in [63], the input voltage is terminated on RT,I and is simultaneously processed by a common gate (CG) stage M1NA (respectively, M1PA, M1NB and M1PB) and a common source (CS) stage M2PA (respectively, M2NA, M2PB, M2NB) combining their individual contributions to the output. Additionally, the bottom and top active halves of this circuit realize a pseudo-differential complementary architecture, doubling the resulting gain while re-using the current flowing through the stack from the top to the bottom supply rails.
Another recent example of a current-reuse pseudo-differential complementary amplifier is shown in Figure 6 [64]. For this, the input signal is composed of a pair of level-shifted differential signals v i n l = v i n l + v i n l  and v i n h = v i n h + v i n h fed to common source pairs, which produce the differential output v o = v o + v o through a cascode stage.
However, while with current-reuse multiple functions are merged into efficient circuits, on the other hand, stacking multiple devices can quickly use up the available voltage headroom [65,66,67]. Some headroom can be recovered through careful level-shifting by means of transformers and/or capacitors, as exemplified in the quadrature VCO presented in [68] or the highly efficient merged VCO, filter, and transimpedance output stage demonstrated in [69].
Based on a survey of various reported implementations, it is possible to estimate an average saving of approximately two-fold in both area and power consumption when comparing different merged topologies to their conventional counterparts.
Recently, a new class of minimalistic circuit topologies has gained prominence in the implementation of ultra-low power (ULP) and ultra-low voltage (ULV) systems, particularly for very-low-frequency applications. Architectures such as dynamic amplifiers and floating inverter amplifiers (FIAs) introduce significant structural changes compared to conventional analog circuits. Most traditional analog active stages operate around a stable quiescent point, which provides well-controlled performance parameters such as gain, bandwidth, noise, and distortion. Conversely, the active cores of dynamic and floating inverter amplifiers are typically basic transconductors made of very few transistors that are either unbiased or self-biased. These active blocks dynamically steer current from the power supply, or from reservoir capacitors employed as floating batteries, into the output load in response to the input signal. While this approach enables processing with very high power efficiency, it is presently limited to low-frequency operation. It also introduces substantial challenges, including significant nonlinearities and sensitivity to process, supply, and temperature (PVT) variations. A growing number of techniques are emerging to manage these impairments and to improve robustness against PVT effects. As for other cases discussed in this section, a minimalistic circuit topology allows for power savings. In some cases, over an order of magnitude in power reduction compared to class A topologies for low-frequency operation have been demonstrated [70,71], and the reader is deferred to excellent references such as [72] for an overview.
Another technique that makes the most of fewer transistors and that is regaining [73] popularity thanks to the availability of fully depleted silicon-on-insulator (FD-SOI) technology uses the MOS transistor’s backgate/bulk as an additional device terminal for memory, control, or direct signal processing. In both FD-SOI and standard planar processes, controlling the back-gate voltage allows for the dynamic modulation of the transistor’s threshold voltage. This, in turn, adjusts the drain current and the device’s bias point, a capability that has spurred a wide variety of compact and creative circuit topologies for analog, RF/mmWave, and even low-power digital implementations [74,75].
Lastly, many elegant ideas of compact and efficient configurations have originally been introduced in Bipolar/BiCMOS circuits in the past. Because, unlike MOS transistors, BJTs are not amenable to scaling and hence progress relied on different principles, particularly keeping the transistor count to a minimum and exploiting the nonlinearity of the devices’ exponential characteristics [76]. That gave rise to many elegant circuits where multiple functions merge into just a handful of transistors [65]. Many BJT architectures were used as a starting point for corresponding MOS architectures when RF MOS technology emerged during the past few decades. But more can be achieved. For instance, while MOS’s square law characteristic cannot match the precision and range of a BJT’s exponential, BJT-inspired circuit primitives have been successfully mapped and extended to compact MOS equivalents, and many additional topologies have been introduced [77]. But these are not well-known because they are not often taught in university courses or widely employed in industry practice. Since today’s MOS scaling faces similar challenges to scaling-adverse BJT circuits, these and other efficient and versatile topologies open many new opportunities and deserve more attention.

2.3.2. Nature Is Frugal: The Brain Is Analog

AMS neural ICs constitute another demonstration of rapidly growing systems where impactful transistor level simplifications are viable, and what is being achieved for these networks can be insightful for similar gains in other classes of analog circuits. First, it has long been acknowledged that neuromorphic systems [78,79] are best implemented using analog circuits thanks to relaxed neuron precision requirements allowing the implemented network’s operation to be robust to physical impairments affecting analog computational accuracy such as noise, mismatch, and nonlinearity [80,81,82]. Second, in digital accelerators, replacing digital MAC (multiply-and-accumulate) functions in convolutional layers with AMS implementations also improves efficiency [83,84,85].
More precisely, in the specific case of Compute-in-Memory (CIM), several examples of Analog CIM (ACIM) and Digital CIM (DCIM) architectures have been published. Low voltage/low power implementation variants abound, with ACIMs performing the MAC functions in current- (or voltage-), time-, and charge-domains, while DCIMs employ at least as many variants of synchronous and asynchronous serial or parallel logic for varying bit-width. And while ACIM’s MAC can be implemented enabling vastly less power and area (orders of magnitude) than the DCIM’s counterpart, particularly for large arrays with multiple layers, delivering the ACIM’s final output to a digital processing system for storage (e.g., SRAM) or further use requires analog-to-digital conversion, which, depending on the circumstances, can be revealed to be the most challenging PPA bottleneck.
A quantitative benchmarking study, based on a unified analytical performance model, can be found in [86]. It provides a detailed assessment of both architectures across various workloads and configurations, outlining how much each approach (ACIM vs. DCIM) theoretically outperforms the other depending on requirements.

2.4. Summary

In summary, this Section has discussed several ingenious analog solutions that span multiple abstraction levels to address growing demands for performance, power, and area (PPA), as well as complexity. It is important to note that these techniques can often be combined, potentially yielding mutual greater benefits. Table 1 provides a high-level, though not exhaustive, summary of these approaches, indicating some of their limits and anecdotal estimates of power and area savings reported in the literature. Countless more are likely to be discovered.
This table is not intended as a prescriptive list of solutions. Rather, the key takeaway is that designers can overcome seemingly rigid trade-offs by looking beyond established, general purpose transistor-level topologies and pursuing creative solutions that transcend abstraction levels.

3. Conclusive Considerations

3.1. Blur the Disciplinary and Departmental Barriers

Adopting the design methodologies discussed previously holds great promise, but it is far from simple. Success requires strong technical collaboration between integrated circuit (IC) designers (e.g., chip vendors) and system engineers (e.g., OEMs) to ensure that application requirements are consistent with silicon capabilities and cost constraints. It is crucial to focus on defining the core requirements and objectives—the “what”—before diving into the implementation—the “how.” A collaborative approach, where system and circuit designers co-define and specify a system using a high-level model reflective of the strengths and limitations of IC technology, enables them to determine more realistic specifications than is possible with rigid spec sheets. This collaboration eliminates the all-too-common practice of adding extra (excessive) margins to sensitive specifications to make up for an oversimplified system description or to de-risk a project.

3.2. Abstract, Collapse, Repeat

Another key principle is to embrace multiple abstraction levels, not just the transistor level. The goal at every design stage should be to reduce the complexity and size of the implementation. Effective solutions arise from innovating at each abstraction level (i.e., system, functional, circuit) and blurring the boundaries between them. This iterative process of going up and down the abstraction levels, playing with “what if” scenarios, possibly learning from mistakes, continues until the design is fully optimized. The main challenge lies in decomposing the design into blocks in a way that minimizes overall resource usage.
This methodology shift also has significant operational implications. While a rigid block-based top-down design and specification approach can directly be distributed among a large design team, this standard approach is often limited by communication overhead between team members. In contrast, an iterative “full-stack” process requires a small, tightly knit team for efficient development, especially in the early stages. A larger headcount can then be brought in later to finalize and verify the design.

3.3. EDA Considerations

Along with the engineering operation dynamics, Electronic Design Automation (EDA) has always spurred significant changes in AMS design methodology, and the rapid evolution of AI is anticipated to trigger major shifts in the next five to ten years [87]. Currently, AI’s most common application in AMS circuit design is in boosting productivity by automating repetitive tasks of design optimization and verification, particularly at the transistor level and during the physical design. This works when using well-established and extensively studied architectures, akin to analog standardized circuit cells [88,89,90,91]. But, with or without AI, using compartmentalized approaches alongside many EDA systems, opportunities for substantial PPA reduction in the final design are easily hidden by block partitions and rigid abstractions, and hence completely missed. Additionally, there is a trade-off. Just as rapidly creating large software programs by assembling standard code from libraries can lead to bloated, inefficient software, a similar approach in AMS design—building complex signal chains by using only traditional circuits to speed up the development process, even when individually EDA-optimized—can result in overall larger final designs with low PPA efficiency, increasingly inadequate for the growing demands [87]. In other words, extreme operational efficiency, aided by AI, cannot make up for a suboptimal system solution.
Instead, since, inherently, most effective analog designs originate from “visualizing” and choosing solutions among multiple seemingly valid options and require making practical trade-offs as the implementation process progresses, greater benefits may then emerge from future agentic AI assistance [87,92]. While promising, the latter approach is recent and rapidly developing and it is premature to draw assertions on its adoption. Relying on well-defined and established topologies, published experiments report the use of multi-agent frameworks to automate and optimize various stages of circuit design (e.g., specification understanding, iterative circuit optimization by refining the design search space, and test bench validation) based on clearly defined cost functions [93,94,95,96,97]. In contrast with similar AI-based efforts for coding (e.g., “vibe coding”), even powerful reasoning models struggle with inherent analog hardware concepts such as concurrent operation as opposed to sequential execution, or the use of block-box optimization methods missing domain-specific knowledge, and these models have a lack of scalability beyond “scholastic” test cases. Moreover, particularly when relying on deep neural networks, access to large amounts of training data is a fundamental barrier. With that said, with human direction, this kind of AI could aid the designer in exploring and assessing multiple options through ingenious “full-stack” design approaches, such as those exemplified above. While the exact path of this evolution is hard to predict, the AMS designer’s role should increasingly focus on the most creative parts of the engineering problem. Automation can support the rapid exploration of different options [94,95,96], but it is still human creativity and intuition that is needed in order to lead to a thoughtful result.

3.4. Workforce Development Considerations

This shift in focus requires a parallel evolution in workforce development, particularly in higher education: it should concentrate on building a deeper understanding of first principles, while also encouraging and developing intuition, creative skills, and critical thinking, prioritizing the introduction of traditional circuit architectures with the purpose of teaching the core engineering principles they embody. This will open students’ minds to developing unconventional, yet optimal, solutions to new problems.

3.5. Smarter or More Productive?

Analog design should never be reduced to a relentless effort in optimizing the figures of merit [29,97] out of a rigid library of standard functions. A more holistic and creative approach not only helps overcome future technical challenges but also echoes the early innovations that originally spurred this discipline, and it may be the key to re-energizing it once more [98,99].

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The data presented in this study are available on request from the author.

Conflicts of Interest

Author Gabriele Manganaro was employed by the company MediaTek. The author declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
AAAnti-Aliasing
ACIMAnalog Compute in Memory
ADCAnalog to Digital Converter
AIArtificial Intelligence
AMSAnalog and Mixed-Signal
BCIBrain Computer Interface
BJTBipolar Junction Transistor
BPBand Pass
CDRClock and Data Recovery
CIMCompute in Memory
CTContinuous Time
DLLDelay Locked Loop
DCIMDigital Compute in Memory
DPDDigital Pre-Distortion
DSPDigital Signal Processor
DTDiscrete Time
ECGElectrocardiogram
EDAElectronic Design Automation
FD-SOIFully Depleted Silicon on Insulator
FFEFeedforward Equalizer
FIAFloating Inverter Amplifier
GPUGraphics Processing Unit
HIHeterogeneous Integration
ICIntegrated Circuit
ICTInformation and Communication Technology
IPIntellectual Property
MACMultiply and Accumulate
mmWavemillimeter Wave
MOSMetal Oxide Semiconductor
NUSNon-Uniform Sampling
OEMOriginal Equipment Manufacturer
OOBOut Of Band
PAPower Amplifier
PLLPhase Locked Loop
PPAPerformance, Power and Area
PVTProcess Voltage Temperature
RFRadio Frequency
S/HSample and Hold amplifier
SERDESSERializer/DESerializer
SoCSystem on a Chip
SQNRSignal-to-Quantization Ratio
TDCTime-to-Digital Converter
TPUTensor Processing Unit
ULPUltra-Low Power
ULVUltra-Low Voltage

References

  1. Manganaro, G. Rethinking mixed-signal IC design. In Proceedings of the 2024 IEEE European Solid-State Electronics Research Conference (ESSERC), Bruges, Belgium, 9–12 September 2024; pp. 552–556. [Google Scholar]
  2. Semiconductor Research Corporation. Microelectronics Advanced Packaging Technologies Roadmap (MAPT); Full Report; Semiconductor Research Corporation: Durham, NC, USA, 2023; Available online: https://srcmapt.org/ (accessed on 11 August 2025).
  3. Karl, E.; Park, J.-S. Forum 2: Energy-efficient AI-computing systems for large-language models. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 593–596. [Google Scholar]
  4. Liang, B.-S. AI computing design trends for LLMs in the generative AI era. Presented at the IEEE International Symposium on Circuits and Systems, London, UK, 25–28 May 2025. [Google Scholar]
  5. Liu, M.; Wong, H.-S. How We’ll Reach a 1 Trillion Transistor GPU, IEEE Spectrum, 28 March 2024. Available online: https://spectrum.ieee.org/trillion-transistor-gpu (accessed on 11 August 2025).
  6. Moore, S.M. Cerebras Unveils Its Next Waferscale AI Chip, IEEE Spectrum, 13 March 2024. Available online: https://spectrum.ieee.org/cerebras-chip-cs3 (accessed on 11 August 2025).
  7. Sivaram, S.; Ilkbahar, A. Searching for nonlinearity: Scaling limits in NAND flash. In Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 11–15 June 2023; pp. 1–4. [Google Scholar]
  8. Zhang, K. Semiconductor Industry: Present & Future. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 10–15. [Google Scholar]
  9. Das, S.; Wuu, J. Forum 1: Efficient Chiplets and die-to-die Communications. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 589–592. [Google Scholar]
  10. Hung, C.-M. Semiconductor Chip Design in a Legoland. In Proceedings of the 2023 IEEE Asian Solid-State Circuits Conference (A-SSCC), Haikou, China, 5–8 November 2023; pp. 1–4. [Google Scholar]
  11. Dally, W. Hardware for Deep Learning. In Proceedings of the 35th Hot Chips Symposium, Stanford, CA, USA, 27–29 August 2023; Stanford University: Standford, CA, USA, 2023. Available online: https://hc2023.hotchips.org/ (accessed on 11 August 2025).
  12. Moore, S.M. The Secret to Nvidia’s AI Success, IEEE Spectrum, 7 September 2023. Available online: https://spectrum.ieee.org/nvidia-gpu (accessed on 11 August 2025).
  13. Wirth, N. A plea for lean software. Computer 1995, 28, 64–68. [Google Scholar] [CrossRef]
  14. Hussain, A. Software Sucks, But It Doesn’t Have to: How to make leaner, greener software. IEEE Spectrum 2024, 61, 2. [Google Scholar] [CrossRef]
  15. Belay, A.; Leiserson, C.E. EVOLVE: Next Generation Software Efficiency@CSAIL. In Proceedings of the CSAIL Alliances Annual Meeting, Cambridge, MA, USA, 2–4 April 2024; Massachusetts Institute of Technology: Cambridge, MA, USA, 2024. Available online: https://cap.csail.mit.edu/annual-meeting-2024-key-takeaways#evolve (accessed on 11 August 2025).
  16. Rapp, M.; Amrouch, H.; Lin, Y.; Yu, B.; Pan, D.Z.; Wolf, M.; Henkel, J. MLCAD: A Survey of Research in Machine Learning for CAD Keynote Paper. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2022, 41, 3162–3181. [Google Scholar]
  17. Shi, Z.; Pan, H.; Khan, S.; Li, M.; Liu, Y.; Huang, J.; Zhen, H.-L.; Yuan, M.; Chu, Z.; Xu, Q. DeepGate2: Functionality-Aware Circuit Representation Learning. In Proceedings of the 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Francisco, CA, USA, 29 October–2 November 2023; pp. 1–9. [Google Scholar]
  18. Park, H.; Abdullatif, M.; Chen, E.; Elmallah, A.; Nehal, Q.; Gandara, M.; Liu, T.-B.; Khashaba, A.; Lee, J.; Kuan, C.-Y.; et al. A 4.63 pJ/b 112 Gb/s DSP-Based PAM-4 Transceiver for a Large-Scale Switch in 5 nm FinFET. In Proceedings of the 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 5–7. [Google Scholar]
  19. Li, G.; Garg, A.; He, T.; Singh, U.; Zhang, J.; Rao, L.; Liu, C.; Nazari, M.; Liu, Y.; Liu, Y.; et al. A 600 Gb/s DP-QAM64 Coherent Optical Transceiver Frontend with 4 × 105 GS/s 8b ADC/DAC in 16 nm CMOS. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2024; pp. 338–340. [Google Scholar]
  20. Teh, M.Y.; Wu, Z.; Glick, M.; Rumley, S.; Ghobadi, M.; Bergman, K. Performance trade-offs in reconfigurable networks for HPC. J. Opt. Commun. Netw. 2022, 14, 454–468. [Google Scholar] [CrossRef]
  21. Montazerolghaem, M.A.; de Vreede, L.N.; Babaie, M. A 300 MHz-BW, 27-to-38 dBm In-Band OIP3 sub-7 GHz Receiver for 5 G Local Area Base Station Applications. In Proceedings of the 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 292–294. [Google Scholar]
  22. Workshop on System Design Considerations for Advanced Radios. In Proceedings of the 2022 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Denver, CO, USA, 19–21 June 2022.
  23. Cintuglu, M.H.; Mohammed, O.A.; Akkaya, K.; Uluagac, S.A. A Survey on Smart Grid Cyber-Physical System Testbeds. IEEE Commun. Surv. Tutor. 2017, 19, 446–464. [Google Scholar]
  24. Guthaus, M.; Batten, C.; Brunvand, E.; Gaillardon, P.E.; Harris, D.; Manohar, R.; Mazumder, P.; Pileggi, L.; Stine, J. NSF Integrated Circuits Research, Education and Workforce Final Report. arXiv 2023, arXiv:2311.02055. [Google Scholar]
  25. Manganaro, G.; Kwak, S.U.; Cho, S.; Pulincherry, A. A behavioral modeling approach to the design of a low jitter clock source. IEEE Trans. Circuits Syst. II Analog. Digit. Signal Process. 2003, 50, 804–814. [Google Scholar] [CrossRef]
  26. de la Rosa, J. Sigma-Delta modulators: Tutorial overview, design guide, and state-of-the-art survey. IEEE Trans. Circuits Syst. I Regul. Pap. 2011, 58, 1–21. [Google Scholar]
  27. Tegazzini, L.; Di Meo, G.; De Caro, D.; Strollo, A.G.M. High-Precision MUX-based digital delay interpolators based on a novel transistor sizing algorithm. IEEE Trans. Circuits Syst. II Express Briefs 2025, 72, 938–942. [Google Scholar] [CrossRef]
  28. Liu, B.; Zhang, Y.; Qiu, J.; Huang, H.; Sun, Z.; Xu, D.; Zhang, H.; Wang, Y.; Pang, J.; Li, Z.; et al. A fully-synthesizable fractional-N injection-locked PLL for digital clocking with triangle/sawtooth spread-spectrum modulation capability in 5-nm. IEEE Solid-State Circuits Lett. 2020, 3, 34–37. [Google Scholar]
  29. Nauta, B. Racing Down the Slopes of Moore’s Law. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 16–23. [Google Scholar]
  30. Pavan, S.; Manivannan, S.; Basavaraj, N. Analysis and Design of Wideband Filtering ADCs Using Continuous-Time Pipelining. IEEE J. Solid-State Circuits 2024, 59, 268–281. [Google Scholar]
  31. Pavan, S. Tutorial: Fundamentals of Continuous-Time ADCs. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024. [Google Scholar]
  32. Mittal, R.; Shibata, H.; Patil, S.; Krommenhoek, E.; Shrestha, P.; Manganaro, G.; Chandrakasan, A.P.; Lee, H.-S. A 6.4-GS/s 1-GHz BW Continuous-Time Pipelined ADC with Time-Interleaved Sub-ADC-DAC Achieving 61.7-dB SNDR in 16-nm FinFET. IEEE J. Solid-State Circuits 2024, 59, 1158–1170. [Google Scholar]
  33. Tsividis, Y. Event-Driven Data Acquisition and Digital Signal Processing—A Tutorial. IEEE Tran. Circuits Syst. II Express Briefs 2010, 57, 577–581. [Google Scholar]
  34. Wu, T.-F.; Dey, S.; Chen, M.S.-W. A Nonuniform Sampling ADC Architecture with Reconfigurable Digital Anti-Aliasing Filter. IEEE Trans. Circuits Syst. I Regul. Pap. 2016, 63, 1639–1651. [Google Scholar]
  35. Wu, T.-F.; Ho, C.-R.; Chen, M.S.-W. A Flash-Based Non-Uniform Sampling ADC with Hybrid Quantization Enabling Digital Anti-Aliasing Filter. IEEE J. Solid-State Circuits 2017, 52, 2335–2349. [Google Scholar]
  36. Ayesh, M.; Mahapatra, S.; Yang, C.; Chen, M.S.-W. A 0.072 mm2 18-to-21 GHz Non-Uniform Sub-Sampling Receiver with a Non-Uniform Discrete-Time FIR Filter Achieving 42 dB Blocker Rejection in 28 nm CMOS. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 92–94. [Google Scholar]
  37. Yang, C.; Ayesh, M.; Zhang, A.; Wu, T.-F.; Chen, M.S.-W. A 29-mW 26.88-GHz Non-Uniform Sub-Sampling Receiver Front-End Enabling Spectral Alias Spreading. In Proceedings of the 2020 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Los Angeles, CA, USA, 21–23 June 2020. [Google Scholar]
  38. Yang, C.; Su, S.; Ayesh, M.; Mahapatra, S.; Hamada, N.; Chenna, V.; Hashemi, H.; Chen, M.S.-W. A Blocker-Tolerant Receiver with VCO-Based Non-Uniform Multi-Level Time-Approximation Filter. IEEE J. Solid-State Circuits 2025, 60. [Google Scholar]
  39. Allstot, D.; Rovatti, R.; Setti, G. Special issue on Compressed Sensing. IEEE J. Emerg. Sel. Top. Circuits Syst. 2012, 2, 337–339. [Google Scholar] [CrossRef]
  40. Yazicigil, R.T.; Haque, T.; Kinget, P.R.; Wright, J. Taking Compressive Sensing to the Hardware Level: Breaking Fundamental Radio-Frequency Hardware Performance Tradeoffs. IEEE Signal Process. Mag. 2019, 36, 81–100. [Google Scholar]
  41. Verhelst, M.; Bahai, A. Where Analog Meets Digital: Analog-to-Information Conversion and Beyond. IEEE Solid-State Circuits Mag. 2015, 7, 67–80. [Google Scholar]
  42. Guo, W.; Kim, Y.; Tewfik, A.H.; Sun, N. A Fully Passive Compressive Sensing SAR ADC for Low-Power Wireless Sensors. IEEE J. Solid-State Circuits 2017, 52, 2154–2167. [Google Scholar] [CrossRef]
  43. Liu, J.; Allstot, D.J. Compressed Sensing Σ-Δ Modulators and a Recovery Algorithm for Multi-Channel Wireless Bio-Signal Acquisition. IEEE Trans. Circuits Syst. I Regul. Pap. 2023, 70, 1429–1438. [Google Scholar] [CrossRef]
  44. Bajor, M.; Haque, T.; Han, G.; Zhang, C.; Wright, J.; Kinget, P.R. A Flexible Phased-Array Architecture for Reception and Rapid Direction-of-Arrival Finding Utilizing Pseudo-Random Antenna Weight Modulation and Compressive Sampling. IEEE J. Solid-State Circuits 2019, 54, 1315–1328. [Google Scholar] [CrossRef]
  45. Pelissier, M.; Studer, C. Non-Uniform Wavelet Sampling for RF Analog-to-Information Conversion. IEEE Trans. Circuits Syst. I Regul. Pap. 2018, 65, 471–484. [Google Scholar] [CrossRef]
  46. Yazicigil, R.T.; Haque, T.; Whalen, M.R.; Yuan, J.; Wright, J.; Kinget, P.R. Wideband Rapid Interferer Detector Exploiting Compressed Sampling with a Quadrature Analog-to-Information Converter. IEEE J. Solid-State Circuits 2015, 50, 3047–3064. [Google Scholar] [CrossRef]
  47. Jang, M.; Hays, M.; Yu, W.-H.; Lee, C.; Caragiulo, P.; Ramkaj, A.T.; Wang, P.; Phillips, A.J.; Vitale, N.; Tandon, P.; et al. A 1024-Channel 268-nW/Pixel 36 × 36 μm2/Channel Data-Compressive Neural Recording IC for High-Bandwidth Brain-Computer Interfaces. IEEE J. Solid-State Circuits 2024, 59, 1123–1136. [Google Scholar] [CrossRef]
  48. Manganaro, G. An Introduction to High Sample Rate Nyquist Analog-to-Digital Converters. IEEE Open J. Solid-State Circuits Soc. 2022, 2, 82–102. [Google Scholar] [CrossRef]
  49. Manganaro, G.; Rakuljic, N. Receiver Signal Chains with Low Power Drivers and Driver Optimization. US Patent US2020030 4135A1, 2019.
  50. Ali, A.M.A.; Dinc, H.; Bhoraskar, P.; Bardsley, S.; Dillon, C.; McShea, M.; Periathambi, J.P.; Puckett, S. A 12-b 18-GS/s RF Sampling ADC with an Integrated Wideband Track-and-Hold Amplifier and Background Calibration. IEEE J. Solid-State Circuits 2020, 55, 3210–3224. [Google Scholar] [CrossRef]
  51. Digitally Enhanced Mixed Signal Systems; Jabbour, C., Desgreys, P., Dallet, D., Eds.; IET Press: London, UK, 2019. [Google Scholar]
  52. Doufana, M.; Park, C.W.; Bahoura, M. A neural network based on-line adaptive predistorter for power amplifier. In Proceedings of the 2010 IEEE 11th Annual Wireless and Microwave Technology Conference (WAMICON), Melbourne Beach, FL, USA, 12–13 April 2010. [Google Scholar]
  53. Zhang, Y.; Chen, Q.; Gao, K.; Chen, W.; Feng, H.; Feng, Z.; Ghannouchi, F.M. A Novel Digital Predistortion Coefficients Prediction Technique for Dynamic PA Nonlinearities Using Artificial Neural Networks. IEEE Microw. Wirel. Technol. Lett. 2024, 34, 1115–1118. [Google Scholar] [CrossRef]
  54. Rakuljic, N.; Speir, C.; Otte, E.; Bray, J.; Petersen, C.; Manganaro, G. In-situ nonlinear calibration of a RF signal chain. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018. [Google Scholar]
  55. Dubois, S.; Lelong, B.; Hode, J.-M.; Ferre, G.; Dallet, D. Linearization of Intermodulation Distortion using a derivative extended model and three-tone signal identification. IEEE Trans. Instrum. Meas. 2025, 74, 1–12. [Google Scholar] [CrossRef]
  56. Boumard, S.; Lasanen, M.; Apilo, O.; Hekkala, A.; Cassan, C.; Verdeil, J.-P.; David, J.; Phichon, L. Power consumption trade-off between power amplifier OBO, DPD, and clipping and filtering. In Proceedings of the 2014 26th International Teletraffic Congress (ITC), Karlskrona, Sweden, 9–11 September 2014. [Google Scholar]
  57. Lu, E.; Li, W.-K.; Deng, Z.; Rostami, E.; Wu, P.-A.; Chang, K.-M.; Chuang, Y.-C.; Lai, C.-M.; Chen, Y.-C.; Peng, T.-H.; et al. A 4 × 4 Dual-Band Dual-Concurrent WiFi 802.11ax Transceiver with Integrated LNA, PA and T/R Switch Achieving +20 dBm 1024-QAM MCS11 Pout and −43 dB EVM Floor in 55 nm CMOS. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2020. [Google Scholar]
  58. Park, K.; Shim, M.; Ko, H.-G.; Jeong, D.-K. A 6.4-to-32 Gb/s 0.96 pJ/b Referenceless CDR Employing ML-Inspired Stochastic Phase-Frequency Detection Technique in 40 nm CMOS. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 124–126. [Google Scholar]
  59. Wang, Z.; Megahed, M.; Chun, Y.; Anand, T. A Machine Learning Inspired Transceiver with ISI-Resilient Data Encoding: Hybrid-Ternary Coding + 2-Tap FFE + CTLE + Feature Extraction and Classification for 44.7 dB Channel Loss in 7.3pJ/bit. In Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, 13–19 June 2021; pp. 1–2. [Google Scholar]
  60. Zhang, T.; Cao, Y.; Zhang, S.; Chen, C.; Ye, F.; Ren, J. Machine Learning Based Prior-Knowledge-Free Calibration for Split Pipelined-SAR ADCs with Open-Loop Amplifiers Achieving 93.7-dB SFDR. In Proceedings of the ESSCIRC 2019—IEEE 45th European Solid State Circuits Conference (ESSCIRC), Cracow, Poland, 23–26 September 2019; pp. 189–192. [Google Scholar]
  61. Ware, E.; Correll, J.; Lee, S.; Flynn, M. 6GS/s 8-channel CIC SAR TI-ADC with Neural Network Calibration. In Proceedings of the ESSCIRC 2022—IEEE 48th European Solid State Circuits Conference (ESSCIRC), Milan, Italy, 19–22 September 2022; pp. 325–328. [Google Scholar]
  62. Bhanushali, S.; Maiti, D.; Bikkina, P.; Mikkola, E.; Sanyal, A. Circuits-informed machine learning technique for blind open-loop digital calibration of SAR ADC. In Proceedings of the 2025 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), San Francisco, CA, USA, 15–17 June 2025; pp. 151–154. [Google Scholar]
  63. Ramkaj, A.; Cantoni, A.; Manganaro, G.; Devarajan, S.; Steyaert, M.; Tavernier, F. A 30 GHz-BW < −57 dB-IM3 Direct RF Receiver Analog Front End in 16 nm FinFET. In Proceedings of the 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 13–17 June 2022; pp. 100–101. [Google Scholar]
  64. Hsieh, C.E.; Manganaro, G.; Liao, S.-H.; Weng, J.; Fan, T.-Y.; Chin, A.; Hung, T.-C.; Wu, J.X.; Lo, C.-L.; Pan, A.; et al. A Power- and Area-Efficient 4 nm Self-Calibrated 12 b/16 GS/s Hierarchical Time-Interleaving ADC. In Proceedings of the 2025 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2025; pp. 438–440. [Google Scholar]
  65. Mangelsdorf, C. The Comparator Vanishes [Shop Talk: What You Didn’t Learn in School]. IEEE Solid-State Circuits Mag. 2023, 15, 17–24. [Google Scholar] [CrossRef]
  66. Johnson, B.; Molnar, A. An Orthogonal Current-Reuse Amplifier for Multi-Channel Sensing. IEEE J. Solid-State Circuits 2013, 48, 1487–1496. [Google Scholar] [CrossRef]
  67. Tian, Y.; Qin, Z.; Yu, Y.; Gao, G.; Liao, X.; Liu, L. A Low-Noise and Low-Power Multi-Channel ECG AFE Based on Orthogonal Current-Reuse Amplifier. IEEE Trans. Circuits Syst. I Regul. Pap. 2023, 70, 3167–3177. [Google Scholar] [CrossRef]
  68. Wang, P.-Y.; Su, G.-Y.; Chang, Y.-C.; Chang, D.-C.; Hsu, S.S.H. A Transformer-Based Current-Reuse QVCO With an FoM Up to −200.5 dBc/Hz. IEEE Trans. Circuits Syst. II Express Briefs 2018, 65, 749–753. [Google Scholar] [CrossRef]
  69. Fan, C.; Yin, J.; Lim, C.-C.; Mak, P.-I.; Martins, R.P. A 9 mW 54.9-to-63.5 GHz Current-Reuse LO Generator with a 186.7 dBc/Hz FoM by Unifying a 20 GHz 3rd-Harmonic-Rich Current-Output VCO, a Harmonic-Current Filter and a 60 GHz TIA. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 282–284. [Google Scholar]
  70. Huang, S.; Zhang, Z.; He, X.; Gu, M.; Tao, Y.; Zhong, Y.; Sun, N.; Jie, L. A 70 dB SNDR 80 MHz BW Filter-Embedded Pipeline-SAR ADC Achieving 172 dB FoMs with Progressive Conversion and Floating-Charge-Transfer Amplifier. In Proceedings of the 2025 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2025; pp. 318–320. [Google Scholar]
  71. Chen, Z.; Shen, L.; Ye, S.; Gao, J.; Li, J.; Cui, J.; Xu, X.; Luan, Y.; Zhang, H.; Ye, L.; et al. 182.3 dB FoMs 50 MS/s Pipelined-SAR ADC using Cascode Capacitively Degenerated Dynamic Amplifier and MSB Pre-Conversion Technique. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 174–176. [Google Scholar]
  72. Je, M. Tutorial: Process-Scalable Low-Power Amplifiers. In Proceedings of the 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024. [Google Scholar]
  73. Allen, P.W.; Blalock, B.J.; Rincon, G.A. A 1 V CMOS op amp using bulk-driven MOSFETs. In Proceedings of the 1995 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 15–17 February 1995; pp. 192–193. [Google Scholar]
  74. Clerc, S.; Di Gilio, T.; Cathelin, A. (Eds.) The Fourth Terminal; Springer: Berlin, Germany, 2020. [Google Scholar]
  75. Gerfers, F. (Ed.) Bulk-Driven Circuit Techniques for CMOS FDSOI Processes; Springer: Berlin, Germany, 2025. [Google Scholar]
  76. Holloway, P.R. One Transistor, Two Transistors, Three. IEEE Solid-State Circuits Mag. 2013, 5, 21–28. [Google Scholar] [CrossRef]
  77. Pretl, H.; Eberlein, M. Fifty Nifty Variations of Two-Transistor Circuits: A tribute to the versatility of MOSFETs. IEEE Solid-State Circuits Mag. 2021, 13, 38–46. [Google Scholar] [CrossRef]
  78. Mead, C. Neuromorphic electronic systems. Proc. IEEE 1990, 78, 1629–1636. [Google Scholar] [CrossRef]
  79. Vittoz, E.A. Future of analog in the VLSI environment. In Proceedings of the IEEE International Symposium on Circuits and Systems, New Orleans, LA, USA, 1–3 May 1990; Volume 2, pp. 1372–1375. [Google Scholar]
  80. Sarpeshkar, R. Analog Versus Digital: Extrapolating from Electronics to Neurobiology. Neural Comput. 1998, 10, 1601–1638. [Google Scholar] [CrossRef]
  81. Manganaro, G. Another look at Cellular Neural Networks. In Proceedings of the 2021 17th International Workshop on Cellular Nanoscale Networks and their Applications (CNNA), Catania, Italy, 29 September–1 October 2021; pp. 1–4. [Google Scholar]
  82. Lammie, C.; Büchel, J.; Vasilopoulos, A.; Le Gallo, M.; Sebastian, A. The inherent adversarial robustness of analog in-memory computing. Nat. Commun. 2025, 16, 1756. [Google Scholar] [CrossRef]
  83. Murmann, B. Mixed-Signal Computing for Deep Neural Network Inference. IEEE Trans. Very Large Scale Integr. Syst. 2021, 29, 3–13. [Google Scholar] [CrossRef]
  84. Fick, L.; Skrzyniarz, S.; Parikh, M.; Henry, M.B.; Fick, D. Analog Matrix Processor for Edge AI Real-Time Video Analytics. In Proceedings of the 2022 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 260–262. [Google Scholar]
  85. Leroux, N.; Manea, P.P.; Sudarshan, C.; Finkbeiner, J.; Siegel, S.; Strachan, J.P.; Neftci, E. Analog in-memory computing attention mechanism for fast and energy-efficient large language models. Nat. Comput. Sci. 2025, 5, 813–824. [Google Scholar]
  86. Sun, J.; Houshmand, P.; Verhelst, M. Analog or Digital In-Memory Computing? Benchmarking Through Quantitative Modeling. In Proceedings of the 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD), San Francisco, CA, USA, 29 October–2 November 2023; pp. 1–9. [Google Scholar]
  87. Sperling, E. How AI Will Impact Chip Design And Designers. Semiconductor Engineering, 31 July 2025. Available online: https://semiengineering.com/how-ai-will-impact-chip-design-and-designers/ (accessed on 11 August 2025).
  88. Lu, J.; Lei, L.; Huang, J.; Yang, F.; Shang, L.; Zeng, X. Automatic Op-Amp Generation From Specification to Layout. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2023, 42, 4378–4390. [Google Scholar] [CrossRef]
  89. Zhao, Z.; Liu, J.; Zhao, W.-S.; Zhang, L. Automated Topology Synthesis of Analog Integrated Circuits With Frequency Compensation. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2025, 44, 832–844. [Google Scholar] [CrossRef]
  90. Beaulieu, P.-O.; Dumesnil, E.; Nabki, F.; Boukadoum, M. Analog RF Circuit Sizing by a Cascade of Shallow Neural Networks. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2023, 42, 4391–4401. [Google Scholar] [CrossRef]
  91. Abel, I.; Neuner, M.; Graeb, H.E. A Hierarchical Performance Equation Library for Basic Op-Amp Design. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2022, 41, 1976–1989. [Google Scholar]
  92. Collini, L.; Hennessee, A.; Karri, R.; Garg, S. Can Reasoning Models Reason about Hardware? An Agentic HLS Perspective. In Proceedings of the 2025 IEEE International Conference on LLM-Aided Design (ICLAD), Stanford, CA, USA, 26–27 June 2025; pp. 188–194. [Google Scholar]
  93. Chen, P.-H.; Lin, Y.-S.; Lee, W.-C.; Leu, T.-Y.; Hsu, P.-H.; Dissanayake, A.; Oh, S.; Chiu, C.-S. MenTeR: A fully-automated Multi-agenT workflow for end-to-end RF/Analog Circuits Netlist Design. In Proceedings of the 2025 IEEE International Conference on LLM-Aided Design (ICLAD), Stanford, CA, USA, 26–27 June 2025; pp. 124–132. [Google Scholar]
  94. Wu, Z.; Savidis, I. Edge-weighted Graph Neural Networks for Post-placement Interconnect Capacitance Estimation of Analog Circuits. In Proceedings of the 2024 IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 19–22 May 2024. [Google Scholar]
  95. Kochar, D.V.; Wang, H.; Chandrakasan, A.P.; Zhang, X. LEDRO: LLM-Enhanced Design Space Reduction and Optimization for Analog Circuits. In Proceedings of the 2025 IEEE International Conference on LLM-Aided Design (ICLAD), Stanford, CA, USA, 26–27 June 2025; pp. 141–148. [Google Scholar]
  96. Ghose, A.; Kahng, A.B.; Kundu, S.; Wang, Z. ORFS-Agent: Tool-Using Agents for Chip Design Optimization. arXiv 2025, arXiv:2506.08332. [Google Scholar]
  97. Nauta, B. AD Converter Figures of Merit: From a Meaningful Metric to a Design Disaster, Forum 4: Highlights of Data-Converter R&D in the Past 5 Years: A Comprehensive Overview. In Proceedings of the 2025 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2025; pp. 635–637. [Google Scholar]
  98. Callandra, A. Barometers and Analog Design. In Analog Circuits Design: Art, Science and Personalities (EDN); Williams, J., Ed.; Butterworth-Heinemann: Oxford, UK, 1991; ISBN 978-0750696401. [Google Scholar]
  99. Mangelsdorf, C. Encouraging innovation in Analog IC Design. IEICE Trans. Electron. 2023, E106.C, 516–520. [Google Scholar] [CrossRef]
Figure 1. Data acquisition chain: vin is filtered by an anti-aliasing filter constituted by a cascade of CT cells H(s), then buffered to drive a S/H circuit. An ADC converts it into D. Reproduced with permission from [1].
Figure 1. Data acquisition chain: vin is filtered by an anti-aliasing filter constituted by a cascade of CT cells H(s), then buffered to drive a S/H circuit. An ADC converts it into D. Reproduced with permission from [1].
Chips 04 00042 g001
Figure 2. A CT pipelined ADC embedding the same anti-aliasing filtering function together with the data conversion to D. Reproduced with permission from [1].
Figure 2. A CT pipelined ADC embedding the same anti-aliasing filtering function together with the data conversion to D. Reproduced with permission from [1].
Chips 04 00042 g002
Figure 3. (a) A signal x(t) is uniformly sampled at the rate fs = 1/Ts giving the sequence x(kTs). Quantization distortion is introduced by representing x(kTs) with its quantized levels x ^ (kTs). (b) The same signal x(t) is sampled when it is equal to (crosses) one of the quantization levels. That occurs at non-uniform instants ti. Reproduced with permission from [1].
Figure 3. (a) A signal x(t) is uniformly sampled at the rate fs = 1/Ts giving the sequence x(kTs). Quantization distortion is introduced by representing x(kTs) with its quantized levels x ^ (kTs). (b) The same signal x(t) is sampled when it is equal to (crosses) one of the quantization levels. That occurs at non-uniform instants ti. Reproduced with permission from [1].
Chips 04 00042 g003
Figure 4. The NUS ADC introduced in [34,35]. Reproduced with permission from [1].
Figure 4. The NUS ADC introduced in [34,35]. Reproduced with permission from [1].
Chips 04 00042 g004
Figure 5. The amplifier introduced in [63]. Reproduced with permission from [63].
Figure 5. The amplifier introduced in [63]. Reproduced with permission from [63].
Chips 04 00042 g005
Figure 6. The amplifier introduced in [64]. Reproduced with permission from [64].
Figure 6. The amplifier introduced in [64]. Reproduced with permission from [64].
Chips 04 00042 g006
Table 1. Summary of the main exemplary techniques discussed in Section 2.
Table 1. Summary of the main exemplary techniques discussed in Section 2.
Abstraction LevelRepresentative TechniquesRemarksPossible Power SavingsPossible Area Savings
System (2.1)Re-arrange, merge/split functional blocks (2.1.1)Performance metrics’ trade-offs can enable optimal implementations From marginal to >10 timesFrom marginal to 1.5 times
NUS, compressive sampling, feature extraction and application-specific processing (2.1.2)–(2.1.3)Highly application dependent. May require post-processing.From marginal to >100 timesUp to 5 times
Functional (2.2)Digital Nonlinear Correction (2.2.1)Highly application dependent.From marginal to >2 times.Up to 2 times.
Neural Approximation (2.2.2)
Transistor (2.3)Complementary/Current re-use/composite topologies/bulk-driven (2.3.1)Involves supply/signal swing trade-offsUp to ~2 timesUp to ~2 times
Dynamic Amps/Floating Inverters (2.3.1)PVT sensitive. Low frequency operation.>>10 timesMarginal
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Manganaro, G. A Perspective on Analog and Mixed-Signal IC Design Amid Semiconductor Paradigm Shifts. Chips 2025, 4, 42. https://doi.org/10.3390/chips4040042

AMA Style

Manganaro G. A Perspective on Analog and Mixed-Signal IC Design Amid Semiconductor Paradigm Shifts. Chips. 2025; 4(4):42. https://doi.org/10.3390/chips4040042

Chicago/Turabian Style

Manganaro, Gabriele. 2025. "A Perspective on Analog and Mixed-Signal IC Design Amid Semiconductor Paradigm Shifts" Chips 4, no. 4: 42. https://doi.org/10.3390/chips4040042

APA Style

Manganaro, G. (2025). A Perspective on Analog and Mixed-Signal IC Design Amid Semiconductor Paradigm Shifts. Chips, 4(4), 42. https://doi.org/10.3390/chips4040042

Article Metrics

Back to TopTop