Next Article in Journal
Unveiling Surface Roughness Trends and Mechanical Properties in Friction Stir Welded Similar Alloys Joints Using Adaptive Thresholding and Grayscale Histogram Analysis
Previous Article in Journal
Influence of Laser-Wire Metal Deposition Process Parameters on the Mechanical Properties and Microstructure of ER70S-6 Steel
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Recent Applications of Focused Ion Beam–Scanning Electron Microscopy in Advanced Packaging

1
State Key Laboratory of Radio Frequency Heterogeneous Integration, Shenzhen University, Shenzhen 518060, China
2
Photonics Center, Shenzhen University, Shenzhen 518060, China
*
Authors to whom correspondence should be addressed.
These authors contributed equally to this work.
J. Manuf. Mater. Process. 2025, 9(5), 158; https://doi.org/10.3390/jmmp9050158
Submission received: 28 March 2025 / Revised: 1 May 2025 / Accepted: 7 May 2025 / Published: 13 May 2025

Abstract

:
Advanced packaging represents a crucial technological evolution aimed at overcoming limitations posed by Moore’s Law, driving the semiconductor industry from two-dimensional toward three-dimensional integrated structures. The increasing complexity and miniaturization of electronic devices have significantly heightened the challenges associated with failure analysis during process development. The focused ion beam–scanning electron microscope (FIB-SEM), characterized by its high processing precision and exceptional imaging resolution, has emerged as a powerful solution for the fabrication, defect localization, and failure analysis of micro- and nano-scale devices. This paper systematically reviews the innovative applications of FIB-SEM in the research of core issues, such as through-silicon-via (TSV) defects, bond interfacial failures, and redistribution layer (RDL) electromigration. Additionally, the paper discusses multimodal integration strategies combining FIB-SEM with advanced analytical techniques, such as high-resolution three-dimensional X-ray microscopy (XRM), electron backscatter diffraction (EBSD), and spectroscopy. Finally, it provides a perspective on the emerging applications and potential of frontier technologies, such as femtosecond-laser-assisted FIB, in the field of advanced packaging analysis.

1. Introduction

The exponential growth of information technology has driven electronic devices toward high performance, miniaturization, and low power consumption. Consequently, device design, functionality enhancement, and materials research have become central topics in semiconductor development. Advanced packaging technologies, such as flip chips, bumping, wafer-level packaging (WLP), 2.5D packaging (interposers and redistribution layers), and 3D packaging (through silicon vias (TSVs) and through glass vias, (TGVs)), are key drivers for improving the functionality and diversification of high-end semiconductor products [1,2,3,4,5]. However, device miniaturization is directly correlated with increased complexity in failure analysis and reverse engineering. With TSV diameters continually shrinking, aspect ratios increasing, and hybrid bonding pitches reducing to the sub-micro level, traditional analytical methods face significant challenges, including (1) the precise localization of internal defects within complex three-dimensional structures, (2) insufficient understanding of nano-scale interfacial failure mechanisms, and (3) limited capabilities in characterizing thermomechanical stress within multi-material structures [6,7,8,9]. Accurate characterization methods and prompt feedback on structural process changes are essential to improve device yields and reduce manufacturing costs.
FIB-SEM technology integrates precise gallium ion beam etching (with ion beam resolutions of <2.5 nm) and high resolution FESEM imaging (with secondary electron resolutions of <0.6 nm), thus allowing material etching, deposition processing, and imaging functionalities. By taking advantage of its capabilities in morphological characterization, targeted processing, in situ analysis, and three-dimensional reconstruction, FIB-SEM has expanded from its initial use in failure analysis to encompass the entire process development cycle [10,11,12]. It has become a key tool for optimizing TSV-filling processes, assessing bonding interface quality, and elucidating electromigration mechanisms [13,14]. In TSV processes, FIB can directly expose the TSV structure through cross-sectioning, enabling the observation of copper-filling uniformity, voids, cracks, or over-plating issues, using ion beam imaging (e.g., combined with SEM). In bond interfacial failure analysis, FIB can precisely cut bonding interfaces (such as Cu-Cu hybrid bonding or solder interfaces), revealing micro/nano-scale delamination, voids, or intermetallic compound (IMC) anomalies. Oxygen contamination, fluorine residues, or electrochemical migration at the bonding interface can be identified using FIB-EDS coupled with spectroscopic techniques. In RDL processes, FIB’s high-resolution imaging can verify whether the critical dimensions (CDs) of RDL patterns meet design specifications, particularly for detecting overlay misalignment in multilayer stacking. FIB can locally remove the passivation layer above the RDL to expose metallic line defects (e.g., electromigration-induced open circuits or lithography-residue-induced short circuits) and repair interconnects via ion beam deposition. Cracks or voids in the interlayer dielectric materials of RDLs can be quickly located through FIB cross-sectioning combined with electron imaging. In summary, this article focuses on the innovative applications of FIB-SEM in advanced packaging, with the aim of providing technical references for the industry.

2. Evolution of Advanced Packaging Technologies and Characterization Challenges

Since the 1990s, packaging technology has undergone three revolutionary breakthroughs: ➀ 2.5D Packaging: Utilizing silicon interposers and RDLs to achieve horizontal, high-density interconnects for multiple chips, increasing the interconnect density to the order of 104 interconnections/mm2 and reducing the RDL linewidth to 2 μm. Although 2.5D packaging enables higher interconnect densities without increasing package sizes, RDLs remain constrained by substrate dimensions [15]. ➁ 3D Packaging: Employing TSV and TGV technologies to vertically stack heterogeneous chips with different functions, reducing interconnect distances to the micrometer scale and cutting the signal delay by 90 percent. The TSV vertical interconnect density has surpassed 106 interconnections/mm2. Figure 1 illustrates structural comparisons between 2.5D- and 3D-packaged chips, highlighting the higher spatial efficiency and compactness achievable through 3D methods [16]. ➂ Heterogeneous Integration: In 2016, the Institute of Electrical and Electronics Engineers (IEEE) and the International Semiconductor Industry Association (SEMI) jointly supported and initiated the release of the heterogeneous integration roadmap (HIR) [17]. This roadmap outlined the challenges in the field of future system integration technologies and proposed corresponding technical pathways: system-in-package (SiP), wafer-level packaging (WLP), and 3D interconnects. These approaches aim to enhance the overall system performance by integrating devices of different types and manufacturing processes without relying on traditional process scaling. For example, integrating logic chips and memory in a heterogeneous manner can shorten data transmission paths, reduce latency, and increase data throughput. In 2011, the TSMC introduced the CoWoS (chip-on-wafer-on-substrate) process based on 2.5D-packaging technology, providing solutions for high-performance products, like GPUs and FPGAs. NVIDIA’s GP100 and Google’s TPU 2.0 chips both adopted the CoWoS process. In 2019, Intel launched the TSV-based 3D Foveros technology, which uses a face-to-face chip-to-chip bonding process, treating the chip as an active interposer connected to upper and lower layers through TSVs [18]. This technology has been successfully applied to the Ponte Vecchio chip in Intel’s MAX-series GPUs. In 2020, Intel combined 2.5D EMIB (Embedded Multi-Die Interconnect Bridge) and 3D Foveros packaging technologies to release the high-performance GPU chip “Lakefield” [19,20]. Clearly, 2.5D/3D integration processes are playing an increasingly vital role in artificial intelligence and high-performance computing fields.
Despite the leap in chip performance achieved through technological breakthroughs, advanced packaging technologies still face multiple challenges. For instance, in 3D interconnection processes (such as TSV and TGV), issues like insulation layer damage [4,5], uneven dielectric layers, and electroplating filling defects can lead to yield reduction in advanced packaging processes [21,22,23]. In the bumping process, problems such as irregular bump shapes, excessive height differences, or poor connections with other components may cause instability in electrical performance and increase interference during signal transmission [24], thereby affecting the normal operation of the chip in subsequent uses. Poor bonding quality in flip-chip, interposer, and RDL processes, such as voids at bonding interfaces, insufficient interatomic bonding forces, or mismatched bonding materials, may lead to failures in internal circuit connections of the chip, resulting in signal transmission interruptions or errors, ultimately causing declines in the yields of packaged products [25,26,27]. These issues urgently require advanced characterization methods to achieve the non-destructive testing of three-dimensional structures, precise location of nano-scale defects, and in situ observation of dynamic processes. Only after a comparative analysis of interfacial structures under different process parameters can the optimal process conditions be identified, thereby improving the quality and efficiency of integration, enhancing the yield of process devices, and reducing the cost of wafer fabrication.

3. Core Applications of FIB-SEM in Advanced Packaging

3.1. Optimization of TSV/TGV Processes

Through-silicon-via (TSV) technology is widely adopted in three-dimensional integrated circuits, facilitating chip stacking and significantly improving the input/output (I/O) density and signal transmission performance [28]. In applications such as power amplifiers, traditional methods for reducing emitter inductance include wirebonding and flip-chip connections. Wirebonding (Figure 2a), though cost intensive, can suffer from poor contacts, leading to increased inductance. Conversely, flip-chip packaging (Figure 2b) enhances electrical performance but compromises thermal management because of the lower thermal conductivity of solder compared to those of the silicon substrates used in wirebonding. The introduction of TSV technology (Figure 2c) addresses these issues by effectively reducing inductance while preserving low-cost manufacturing and optimal thermal performance [29]. However, TSV fabrication processes are complex. Defects such as incomplete filling and voids in TSV blind holes negatively affect signal transmission efficiencies and yields.
Copper is commonly used for TSV filling because of its high electrical conductivity and excellent compatibility with multilayer interconnect processes. The substantial mismatch in the coefficients of thermal expansion (CTEs) between copper and silicon introduces significant thermomechanical stresses, leading to structural performance degradation. Particularly after thermal shock, the volume of copper increases and separates from the silicon substrate, accumulating interfacial shear stress, which leads to cracking within the TSV, ultimately causing TSV failure. To mitigate this stress, Dou et al. combined FIB and EBSD and found that cracks caused by CTE mismatch primarily propagate along Cu grain boundaries and that irregular Cu grains have the ability to hinder crack propagation [30]. Controlling the growth of irregular Cu grains poses a challenge for the electroplating process. Alternatively, annealing can relieve stress but may exacerbate copper protrusion, aggravating interfacial friction and posing reliability risks [31,32]. Li et al. investigated the structural changes in TSVs at different annealing temperatures and found that longer heating times result in greater copper protrusion. The Cu grains in TSVs are related to the thermal behavior, with grain size increasing as the thermal exposure time increases, although the grain orientation remains unchanged. This provides a theoretical basis for optimizing annealing conditions in TSV processes to enhance structural reliability [33]. Zhang and colleagues have also effectively corroborated this point through the application of an FIB. The electroplating-prepared TSV structures exhibited numerous micropores and cracks at the Cu/TiW interface (Figure 3a,b). Thermal cycling led to an increase in the dislocation density and a reduction in the grain diameter of TSV-Cu (Figure 3c,d). Annealing resulted in further interfacial cracking, which released residual stresses and increased the grain diameter of TSV-Cu (Figure 3e,f) [34]. Additionally, previous studies have shown that increasing the thickness of the SiO2 insulating layer can reduce the thermomechanical stress [35,36]. However, because of the unique stress distribution in TSV structures, the reduction in the thermomechanical stress is not linearly related to the thickness of the SiO2 insulating layer [37]. Liu et al. combined finite-element-analysis (FEA)-based stress simulation and FIB-based characterization to study the effects of the thickness of the liner layer between Cu and Si (THKliner) and the thickness of the interlayer dielectric layer (THKILD) on the thermomechanical stress of TSV structures [38]. They proposed that setting the THKliner to 0.4 μm and the THKILD to 1.0 μm can significantly reduce the thermal stress, thereby improving the structural stability. These insights directly address practical engineering challenges in 2.5D/3D advanced packaging [38].
The composition of the electroplating solution is complex, and in situ microscopy in the electroplating process can provide direct experimental evidence for the development of high-performance electroplating solutions. FIB-SEM has the advantage of in situ observation after cross-section preparation, allowing for the convenient and effective real-time tracking of the morphological evolution during the growth of Cu pillars and facilitating mechanism research. Beyne et al. utilized a two-component chemical electroplating solution to inhibit the growth of Cu on the sidewalls and openings during the bottom-up growth of Cu pillars, achieving void-free Cu through-hole filling. By employing FIB cutting followed by SEM observation, they examined the morphological changes in Cu pillars within the holes at different electrochemical deposition times [39]. The results showed that with increasing electrochemical deposition time, the Cu pillars demonstrated excellent bottom-up growth while maintaining open tops, showcasing superior filling quality and stability. To enhance the stability of the electrochemically deposited Cu pillars and remove residual chemical impurities from the deposition process, high-temperature annealing was performed prior to CMP (chemical mechanical polishing). After annealing, defects within the TSV holes were further reduced, and a partial crystallization of Cu was achieved. This research provides a critical process window for high-density TSV design. Crystalline copper, characterized by high strength, high electromigration resistance, and high conductivity, is a strong candidate material for through-holes in next-generation high-density TSV processes. Currently, researchers have preliminarily achieved the controllable preparation of nano-twinned structures by adjusting the electrolyte formulation and DC electrodeposition process parameters. Utilizing in situ characterization and real-time observation techniques, they have conducted detailed observations and analyses of the morphological changes in and structural evolution of nano-twinned copper during through-hole growth, offering new possibilities for high-density through-hole interconnection processes [40,41]. By adjusting the electrolyte formulation and the parameters of the direct current electrodeposition process, the controllable fabrication of nano-twinned structures has been achieved. Figure 4 demonstrates the application of FIB cross-sectioning to characterize nano-twinned Cu in TSVs, RDLs, and Cu-Cu hybrid bonding, providing robust evidence for the use of nano-twinned structures in high-density interconnection processes [42,43,44].
Glass materials possess excellent insulation properties, low loss factors, low dielectric constants, high dimensional stability, and adjustable thermal expansion coefficients, making them highly promising for applications in radio frequency chips, micro-electromechanical system sensors, high-density system integration, and other fields [45,46,47]. Compared to TSV technology, TGV technology eliminates the need for an insulation layer preparation step because of the inherent insulating properties of the glass. This shifts the technical challenges of TGV to the processes of hole formation and filling. Similar to TSV hole filling, the electrodeposition of copper is commonly used to achieve TGV through-hole filling. Vertical TGV through-holes typically employ a high-acid, low-copper system for filling. When various organic additives are introduced to the electroplating solution, the distribution of the current density changes, causing the deposition rate at the center of the through-hole to be faster than that at the opening. This results in a butterfly-wing-shaped cross-section, known as the butterfly-filling technique, enabling the void-free filling of the through-holes [48,49]. Research on the regulation of basic plating solutions, additives, leveling agents, and the convective adsorption behavior in the electrolyte is a primary direction for achieving high-quality metal-filled TGV technology.
In addition to levelers, FIB-SEM also holds application prospects in studying the synergistic effects of inhibitors and accelerators [50,51]. To achieve the void-free and rapid filling of through-holes, FIB-SEM was utilized to obtain cross-sectional and surface morphological images of electroplated copper at different current densities and with different inhibitors, accelerators, and levelers at varying ratios to investigate the synergistic effects of inhibitors and accelerators [52]. The results revealed that when the ratio of inhibitor A, accelerator B, and leveling agent C was 50:1:1.25, the through-holes could be perfectly filled within 2.25 h at 1 ASD. As the electroplating current density was increased, the grain size of the copper gradually decreased, as the increased overpotential promoted the formation of nuclei. Further adjusting the ratio of A, B, and C to 60:1:1 enabled the electroplating to be completed within 1.5 h at 1.5 ASD, with excellent plating quality and uniformity.
Additionally, residual stress generated in the metal layer during the electroplating process can lead to the delamination of the glass–metal layer and glass cracking, which are two of the main technical bottlenecks in TGV. Shi et al. prepared several different Cu coatings on glass substrates by adjusting the current density, accelerator, inhibitor, and leveler ratios during the electroplating process [53]. XRD analysis revealed that these Cu coatings contained crystal orientations of (111), (200), (220), and (311), with the residual stress in the coatings being positively correlated with the content of the (111) crystal orientation. The following observations were effectively made utilizing FIB: (1) After annealing, the (220)-crystal-oriented grains in the Cu coating underwent recrystallization, and as the grain size increased, the residual stress decreased. (2) The microstructure of the (111) Cu grains showed almost no change before and after annealing, likely because of the presence of a large number of low-grain-boundary-energy nano-twins within the Cu film. These results further confirm that the controllable preparation of twin structures may be a core direction for high-density interconnection technology.

3.2. The Reliability Assessment of the Process

In the progress of integrating components, such as image sensor arrays, signal-processing circuits, and storage elements, into devices through high-density interconnection and bonding techniques, in situ microscopy research can provide direct experimental evidence for bonding accuracy and failure analysis during 3D integration [54], which is crucial for the development of high-performance heterogeneous integration chips. Hebras et al. utilized fine-pitch Cu-Cu hybrid bonding and high-density TSV technology to fabricate a new generation of three-layer stacked devices [55]. The cross-sectional morphology of the entire 3D stacked structure was analyzed using FIB-SEM. Neither of the bonding interfaces of F2F (face to face) and F2B (face to back) exhibited any significant voids, and the same applied to other visible regions in the images. Meanwhile, FIB was used to finely characterize the cross-sectional structure of the 1 μm × 10 μm TSV in the device. At the top of the TSV, a uniformly distributed interfacial structure between the TSV and the HBM solder joints can be observed; at the bottom of the TSV, the TSV has opened as expected on the metal line. At the bonding interfaces between F2F and F2B, FIB-SEM indicated the presence of some very small nano-voids. Because of the voids’ low number and volume, the authors believe that these voids would not affect the electrical measurements conducted on the post-manufacturing three-layer test structures. Lee et al. employed FIB to dissect and compare the cross-sectional structures of TSVs with and without exposure to a current stress of 1.5 × 105 A/cm2 over 20 days. As illustrated in Figure 5a, the right-side TSV (TSV2-R) that endured current stress (with electrons flowing from the bottom to the top) exhibited signs of the interfacial boundary between the TSV and the Cu cap shifting upwards. Moreover, a darker contrast was observed near the Cu cap adjacent to the TSV, which, in conjunction with EDS mapping, was determined to be due to the volumetric expansion caused by the substantial electromigration of Sn from the solder bump beneath the TSV along the direction of the electron flow (upwards). The combined FIB-EDS approach proved to be effective in identifying these phase-transformation-related microstructural volumetric increases, playing an indispensable role in the design and manufacturing of processes and packaging [56].
Typical controlled-collapse chip connection (C4) bumps are composed of a solder bump, UBM (under bump metallization), a Cu/Ti-sputtered film on the top side of the Si wafer substrate, and a polyimide film coated between the Si wafer substrate and the Cu/Ti-sputtered film [57]. The polyimide film serves as a buffer layer between the substrate and the UBM, playing a role in stress relief. However, the stress-induced cracking of the polyimide film often occurs in low-k IC packaging, leading to a decrease in the yield. Shi et al. used FIB-SEM and finite element methods to observe polyimide film cracks and stress modeling [58]. The results showed that polyimide films formed at higher curing temperatures and higher reflow cooling rates are more prone to cracking. Because of the mismatch in the coefficients of thermal expansion between the polyimide film and the adjacent UBM metal, cracks in the polyimide film are more likely to propagate to the underside of the UBM and its surroundings after the reflow process. The results indicate that lower curing temperatures, lower elastic moduli, lower reflow temperatures, and lower cooling rates enable the formed polyimide film to absorb more strain energy and flexibly adjust the internal stress, reducing the likelihood of cracking during thermal processes.
The C4 process commonly uses metal eutectic bumps to directly solder the chip onto the substrate pads, where the metal eutectic bumps serve as both physical and electrical connections to the substrate [59]. Copper pillar bump technology with solder caps offers advantages, such as high density, fine pitch, and cost effectiveness, driving its widespread application in the assembly of high-performance mobile devices [60]. The connection between the copper pillar bumps on the chip and the copper pads on the substrate is formed through soldering. Thermocompression bonding (TCB) combined with pre-applied underfill technology enables the precise alignment of bumps and pads while relieving the stress at the bumps’ roots, making it widely used in the fabrication of finer-pitch bump interconnects [61,62]. Ito et al. employed FIB three-dimensional imaging technology to obtain the true microstructure of micro-bumps at the copper wirebonding interface of a commercially available processor’s package substrate, and compared cross-sectional images of the bumps before and after thermal cycling tests [63]. By performing FIB cutting every 200 nm, 240 cross-sectional images of the bumps were captured, and these 240 slice images were used to reconstruct a 3D image. The FIB-SEM results clearly reveal the presence of filler inclusions and numerous voids between the purchased processor’s bumps and the copper pillars. After 1000 thermal cycles from −55 °C to 125 °C, the morphology of the connection significantly changed, with another type of void clearly observed at the interface between the copper pillar and the formed intermetallic compound. In addition to EPMA-imaging technology, the possibility of the void being caused by differences in thermal diffusivity between different metals during thermal cycling was ruled out, and the source of the void was identified as likely being volatile components in the electroplated copper pillars. This work provides an in-depth study of the microstructure and failure mechanisms of bumps in flip-chip interconnects, revealing the impacts of pre-applied underfill solder inclusions and volatile components in electroplated copper pillars on interconnect reliability.
With the reduction in the interconnect pitch in semiconductor processes, FIB milling may introduce localized heating and Ga ion implantation, leading to alterations in the morphology of beam-sensitive materials and the observation of artifacts. The introduction of liquid nitrogen cooling ensures that samples maintain a low temperature during preparation, mitigating adverse effects, such as localized heating and artifacts. Taking indium micro-bumps as an example, they are commonly used materials for interconnecting silicon-integrated circuits with infrared photodiode arrays. Indium has a low melting point and, like gallium, is a Group III metal, which can dissolve in the liquid phase. The introduction of cryogenic FIB can effectively avoid defects/voids caused by Ga ion milling. As shown in Figure 6a,b, the cross-section of the indium micro-bumps fabricated using FIB at room temperature and without a protective layer exhibited a large number of voids (marked as arrows (a)) and curtain effects; in addition, the interface between the indium and the intermetallic phases is marked by arrows (b), and the one between the platinum protection layer and indium is marked by arrows (c). It is possible that because of differences in crystal orientation, Ga ions may predominantly implant in these regions, forming defects (Figure 6a). The introduction of high-energy ions can also cause the collapse and deformation of indium micro-bumps (Figure 6b). Figure 6c shows the cutting of indium micro-bumps at 0 °C, where there are still a few voids at the interface, and the curtain effect at the bottom is reduced. This clearly indicates that the introduction of a protective layer and the low temperature significantly reduce the interfacial thickness, as indicated by arrows b and c. Figure 6d shows the cutting of indium micro-bumps at −193 °C, where no voids or curtain effects are observed at the interface after the FIB cutting, and the cross-section is smooth, revealing all the details within the micro-bumps [64]. This work experimentally demonstrates the importance of cryogenic FIB technology in semiconductor failure analysis, particularly its unique advantages when dealing with low-melting-point materials.

3.3. Research on RDL Failure Mechanisms

RDLs, as a core technology in wafer-level packaging, serve the functions of electrical extension and interconnection in the XY-plane. RDLs achieve circuit interconnection by altering the originally designed chip connection points (I/O points) through wafer-level metal-wiring processes and bump fabrication techniques, enabling the chip to adapt to different packaging forms. To achieve a higher number of I/O points, the linewidth and spacing of RDLs are becoming increasingly smaller. The dual damascene technique stands as one of the most promising technologies in the RDL process, wherein copper lines are embedded within high-resolution photosensitive polymers. One of the challenges of this technique is the inability of polymers to block atmospheric oxygen, leading to copper oxidation. Chery et al. proposed the usage of CVD and ALD to fabricate thin nano-layer protective coatings over copper RDL lines and utilized FIB to assess the morphological changes in the RDL before and after oxidation and corrosion stress tests [65]. Subjecting unprotected copper lines (Figure 7a) to high-temperature storage at 150 °C for 1000 h resulted in the formation of a 330 nm thick oxide layer on the surface (Figure 7b). When the thickness of the protective coating exceeded 8 nanometers, copper oxidation was completely prevented (Figure 7c). However, when the thickness of the protective layer was reduced to 6 nm, an 80 nm thick oxide layer appeared. These observations confirm that a 6 nm thin protective layer cannot fully seal the copper surface, likely because of the high density of defects still present in the thin ALD layer deposited at low temperatures. Figure 7e,f displays FIB cross-sections of the metal lines after the thermal cycling stress, showing that regardless of the type of protective layer, no oxidation of the Cu lines was observed at the end of the stress test when the thickness exceeded 8 nanometers. This indicates that the integrity of the protective layer is unaffected by thermal cycling and pressure, paving the way for integration in polymer-based RDL technologies.
The trend toward miniaturized packaging has brought about issues such as high current density and electromigration in RDLs [66]. Electromigration refers to the diffusion of metal atoms within a conductor when an electric current is applied, leading to mass transport, which causes void formation on the cathode side and hillock formation on the anode side [67]. To further understand the electromigration failure mechanisms in Cu RDLs, Kwon et al. used FIB to observe grain sizes and RDL cross-sectional morphologies before and after charging and discharging [68]. During this process, the increase in the RDL resistance exhibits two distinct phases: In the initial phase, the primary contributor to the resistance rise is the reduction in effective Cu cross-sectional area because of oxidation-induced void nucleation and growth. In the progressive phase, with prolonged electromigration testing, delamination occurs at the Cu/polyimide adhesive interface. This interfacial failure triggers accelerated void propagation, causing rapid resistance escalation where delamination-induced void coalescence becomes the dominant degradation mechanism. This indicates that delamination caused by void growth is the primary factor affecting subsequent resistance increases. Tsai et al. investigated the electromigration behavior of fine-line copper RDLs, using in situ SEM observation, and found that the electromigration lifetime of small-grained copper RDLs is significantly superior to that of large-grained copper RDLs. The results indicate that reducing the grain size is an effective strategy for enhancing the electromigration performance of fine-line copper RDLs under high-current-density conditions [69]. In addition to electromigration-induced RDL failure, Liu explored the influence of pattern dimensions on the structural stability of RDL electroplating. By electrodepositing pads, RDLs, and vias of varying sizes, FIB observations of Cu cross-sections revealed that as the pattern dimensions increased, the flatness of the pads and vias gradually improved, while the flatness of the RDLs progressively deteriorated. Additionally, vias could not be fully filled when the outer diameter of the RDL exceeded 60 μm. In addition to thermal shock testing, it was found that smaller-sized pads were more prone to failure. Therefore, to avoid significant thermal mismatch, it is recommended that the pad dimensions be maintained within the range of 55–90 μm.

4. Innovation in Multimodal Integration Technology

4.1. XRM-FIB 3D Navigation System

XRM can precisely locate internal defects in chips (resolution < 500 nm), and FIB can effectively repair these defects. The combination of XRM and FIB acts like a precision navigation system for chip failure detection and repair, significantly improving the efficiency and accuracy of chip fault handling [70]. With the advent of femtosecond-laser FIB, the processing rate of FIB has increased by nearly 5000 times, enabling its processing range to span from the micron to the centimeter scale, providing critical technical support for large-scale device processing and failure analysis. Taking the damaged solder balls inside an SOC (system-on-chip) device as an example, the workflow mainly includes ➀ Surface marking: Using FIB to create visible marks on the chip surface for subsequent positioning and reference, as shown in Figure 8a; ➁ XRM measurements: Performing XRM measurements on the SoC device to obtain surface mark data and internal data; ➂ Data correlation: Transferring the SoC device to the FIB chamber to acquire SEM data of the marks and correlating it with the mark positions in the XRM data, ensuring consistency between the coordinate systems. The target location (e.g., defect structure) inside the sample can then be located on the surface using the XRM data, as shown in Figure 8b,c; ➃ Milling and observation: Using femtosecond-laser FIB for top-down milling to remove excess material until the target location is exposed in the SEM image, as shown in Figure 8d; ➄ FIB polishing: Using a lower ion beam current to gently polish the target area, removing the curtains from the milling step and obtaining clear cross-sectional images, as shown in Figure 8e,f. Viswanathan et al. utilized XRM and femtosecond-laser FIB technology to dissect a 3D-packaged baseband modem, precisely locating a metallic line inside a chip that was 150 µm deep and 20 µm wide. High-resolution SEM cross-sectional images of the metallic line were obtained after local laser milling [71]. By scanning the milled modem with XRM, it was found that adjacent lines remained intact, further substantiating the significant application of the combined characterization techniques of XRM and FIB in the detection of fault isolation methods for failure analysis. In addition to femtosecond-laser FIB, gas-ion-source FIB technology has advanced rapidly in recent years, with PFIB (plasma-focused ion beam) and BIB (broad ion beam) being typical examples. PFIB utilizes a high-density xenon plasma source to generate an ion beam, offering a current density over 100 times higher than that of a gallium ion source, making it suitable for large-scale processing at the millimeter level. Compared to Ga ion FIB, inert gas ions, such as Xe ions, reduce sample contamination and lattice damage. However, because of its higher beam current, PFIB exhibits a more pronounced curtaining effect. Mitigating this effect requires more precise processing techniques, resulting in longer rocking milling times for sub-micron-scale fabrication. BIB employs inert argon ions to bombard the sample, with a beam spot size ranging from hundreds of micrometers to millimeters, making it ideal for global polishing applications. These include sample preparation for EBSD analysis, the removal of stress layers introduced by mechanical grinding, or interfacial exposure in multilayer materials. In the field of advanced packaging, micro/nano-fabrication and high-resolution characterization at the nano-scale still predominantly rely on Ga ion FIB or PFIB.

4.2. EBSD-FIB Crystallographic Analysis

After cutting the sample using FIB, EBSD can be employed to analyze the cross-sectional crystal orientation and grain size, while transmission electron microscopy (TEM) can be used to obtain high-resolution images. Zhang et al. utilized FIB to prepare a 100 nm thick Al sample with a high topological surface. Transmission EBSD characterization revealed that in the Al sample prepared using physical vapor deposition, 81 percent of the grains grew along the (111) direction aligned with or perpendicular to the silicon substrate, with an average grain size of 0.317 µm, and more than half of the grains were sub-micron in size [72]. Wang et al. utilized EBSD to investigate the barrier effect of a novel electroplated nickel layer on suppressing the growth of the IMC (intermetallic compound) layer in high-density packaging technology [73]. After the preparation of CuNi/SnAg bumps using the reflow-soldering process, they observed the crystal structure and grain orientation of the bump cross-sections stored at 150 °C for varying durations. By combining SEM morphological characterization with EBSD grain structure results (as shown in Figure 9), it was found that as the high-temperature storage time increased, the thickness of the IMC layer gradually increased, the grain size grew larger, and the grain orientation became more uniform. Cu gradually diffused into the nickel layer and reacted with Sn to form Cu6Sn5. This work provides new insights into the reliability of solder joints in high-density packaging technology, particularly the mechanism of IMC growth inhibition by the electroplated nickel layer.
Wakamoto et al. used FIB to pre-prepare inclined surfaces and cracks on the surfaces of s-Ag films. Through in situ SEM uniaxial tensile-loading experiments, combined with EBSD, they studied the changes in grains and voids before and after stretching the s-Ag films, revealing that the slip velocity of Ag atoms at grain boundaries determines the deformation and fracture mechanisms of s-Ag films. This provides a reference for the design of future high-performance electronic packaging materials [74]. The processing capability of FIB has also been applied to stress release. Auersperg et al. used EBSD to obtain changes in the crystal orientation within TSV copper pillars during five thermal cycles. By combining finite element analysis and digital image correlation algorithms, they derived the stress distribution within the copper pillars. They then used FIB to create micro-trenches in the copper pillars to release stress. Nano-indentation and bending measurements were employed to extract the Young’s modulus, temperature-dependent yield stress, and hardening coefficient of the copper pillars before and after thermal cycling. They proposed that the mismatch in thermal expansion coefficients between copper and silicon causes stress variations on the silicon surface around the TSV copper pillars and that copper pillar protrusion is a potential cause of post-process delamination and cracking; further optimization is needed to mitigate these risks. This work provides new insights into the reliability analysis and prediction of 3D-IC-integrated TSV processes [75].

4.3. FIB-TOFS In Situ Imaging

In many cases, X-rays can be used for the non-destructive testing of devices, chips, and electrical boards. However, when the material content in the packaging process is under the detection limit or the elements have very low scattering and emission coefficients, X-ray technology cannot directly detect them. The combination of FIB with spectroscopic techniques, such as TOF-SIMS (time-of-flight secondary ion mass spectrometry), represents an effective solution, offering robust support for internal failure analysis of devices. TOF-SIMS is a powerful surface analysis technique that determines ion masses and achieves elemental resolution based on the different flight times of secondary ions from the material surface. It offers ultrahigh surface sensitivity (1 nm) and detection sensitivity (from the parts per million to parts per billion levels) [76,77]. Costina et al. used FIB to prepare cross-sectional samples of Ta2O5 capacitor devices and applied TOF-SIMS to obtain a compositional analysis of the cross-sections [78]. During the anodization process, the electrode material, Cu, tends to diffuse into Ta and Ta2O5, which is the cause of the defects on the layered stack’s surface. By introducing a Ti:W barrier layer on top of the Cu layer, the diffusion of Cu can be prevented, enabling the growth of defect-free Ta2O5 dielectric layers. In their latest work, Klengel et al. combined FIB-SEM, non-destructive lock-in-thermography (LIT), X-ray imaging, energy-dispersive X-ray spectroscopy (EDX), and TOF-SIMS to conduct a comprehensive failure analysis of wirebonding contact failures, providing a typical example for advanced characterization methods in semiconductors’ advanced packaging failure studies [79]. First, LIT was used to stimulate defects with a periodic-pulsed voltage, generating periodic thermal responses to pinpoint the defect location. Next, FIB was employed to cut the Au-ball/Al-pad interface, and it was found that the contact interface between the intermetallic compound layer and the gold-bonding ball was almost completely delaminated. The intensity of the O peak signal in the EDX data could be used to gradually narrow down and locate the fault area. When the detection limit of the EDX was reached, TOF-SIMS was introduced, which has a lower detection limit and higher resolution for low-concentration elements. TOF-SIMS imaging of the area clearly showed the presence of I signals, confirming that halides caused the corrosion of the Au4Al metal wires. This study, through the combined application of multiple characterization techniques, demonstrated the critical roles of such methods in failure analysis. The successful application of this approach provides new solutions for the field of failure analysis, particularly in addressing challenges posed by the miniaturization of packaging systems, new material combinations, and harsh operating conditions.

5. Summary and Prospects

This article discusses recent advancements in the application of FIB-SEM, as well as multimodal integration approaches, in the field of advanced packaging. The combination of FIB-SEM and XRM (X-ray microscopy) enables the precise localization and efficient analysis of internal defects, making it suitable for the multi-scale analysis of devices containing diverse materials and complex structures, thereby providing in-depth insights into device failure mechanisms. The integration of FIB with EBSD technology can be used to analyze the interfacial structures between packaging materials and other materials (such as substrates or chips), assess the crystallographic matching and compatibility of interfaces, and identify grain discontinuities or defects at the interfaces, offering solutions for optimizing interfacial design and processes. The combination of FIB-SEM with TOF-SIMS, EDS, and other spectroscopic techniques allows for simultaneous characterization of structural and chemical compositional information, which is highly beneficial for optimizing surface treatment processes and improving material adhesion and corrosion resistance, and plays significant roles in material modification and the discovery of new packaging materials. Femtosecond-laser FIB, leveraging the high precision and non-thermal damage characteristics of femtosecond lasers, enables higher precision material processing and smaller heat-affected zones, giving it a distinct advantage in the semiconductor-packaging field. Currently, FIB-SEM is widely applied in advanced semiconductor packaging, offering high value in enhancing the reliability of packaging materials, optimizing packaging processes, and driving the development of new packaging technologies and materials. The increasing demands for processing precision and efficiency in advanced semiconductor-packaging processes are also driving the evolution of FIB-SEM technology. Through continuous innovation, FIB-SEM has developed into a comprehensive solution covering process development, failure analysis, and reliability assessment. With the advancement of integrated technologies and the rise of intelligent capabilities, its application in advanced packaging is transitioning from passive detection to active process optimization, providing critical support for next-generation technologies, such as 3D integration and chiplets.

Author Contributions

Conceptualization, investigation, and writing, H.Z. and M.M.; supervision, Y.L., W.Z. and C.Z. All authors have read and agreed to the published version of the manuscript.

Funding

This work was financially supported by the State Key Laboratory of Radio Frequency Heterogeneous Integration (Independent Scientific Research Program No. 2024009).

Data Availability Statement

All datas are within this paper.

Conflicts of Interest

The authors declare no conflicts of interest.

References

  1. Chen, Z.; Zhang, J.; Wang, S.; Wong, C.-P. Challenges and prospects for advanced packaging. Fundam. Res. 2024, 4, 1445–1458. [Google Scholar] [CrossRef] [PubMed]
  2. Lau, J.H. Recent Advances and Trends in Advanced Packaging. IEEE Trans. Components Packag. Manuf. Technol. 2022, 12, 228–252. [Google Scholar] [CrossRef]
  3. Chaware, R.; Hariharan, G.; Lin, J.; Singh, I.; O’Rourke, G.; Ng, K. Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability. In Proceedings of the 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 26–29 May 2015; pp. 1447–1451. [Google Scholar]
  4. Khan, N.; Yu, L.H.; Pin, T.S.; Ho, S.W.; Kripesh, V.; Pinjala, D. 3-D Packaging With Through-Silicon Via (TSV) for Electrical and Fluidic Interconnections. IEEE Trans. Components Packag. Manuf. Technol. 2013, 3, 221–228. [Google Scholar] [CrossRef]
  5. Knickerbocker, J.U.; Patel, C.S.; Andry, P.S.; Tsang, C.K.; Buchwalter, L.P.; Sprogis, E.J. 3-D Silicon Integration and Silicon Packaging Technology Using Silicon Through-Vias. IEEE J. Solid-State Circuits 2006, 41, 1718–1725. [Google Scholar] [CrossRef]
  6. Duan, G.; Kanaoka, Y.; McRee, R.; Nie, B.; Manepalli, R. Die Embedding Challenges for EMIB Advanced Packaging Technology. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021; pp. 1–7. [Google Scholar]
  7. Lau, J.H. Recent advances and trends in heterogeneous integrations. J. Microelectron. Electron. Packag. 2019, 16, 45–77. [Google Scholar] [CrossRef]
  8. Ma, X.; Wang, Y.; Wang, Y.; Cai, X.; Han, Y. Survey on chiplets: Interface, interconnect and integration methodology. CCF Trans. High Perform. Comput. 2022, 4, 43–52. [Google Scholar] [CrossRef]
  9. Agrawal, Y.; Mummaneni, K.; Sathyakam, P.U. Interconnect Technologies for Integrated Circuits and Flexible Electronics; Springer: Singapore, 2024. [Google Scholar]
  10. Kaestner, M.; Mueller, S.; Gregorich, T.; Hartfield, C.; Nolen, C.; Schulmeyer, I. Novel Workflow for High-Resolution Imaging of Structures in Advanced 3D and Fan-Out Packages. In Proceedings of the 2019 China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 18–19 March 2019; pp. 1–3. [Google Scholar]
  11. Mohammad, K.N.; Sim, K.S. Novel application of FIB lift-out and ultramicrotomy for advanced package failure analysis. In Proceedings of the 9th International Symposium on the Physical and Failure Analysis of Integrated Circuits, Singapore, 8–12 July 2002; pp. 159–163. [Google Scholar]
  12. Tang, T.N.; Heng, H.C.; Chan, S.Y.; Hiew, M. Detection of underfill epoxy defects in flip chip packages with the aid of SAM, parallel polishing and FIB. In Proceedings of the 1999 7th International Symposium on the Physical and Failure Analysis of Integrated Circuits, Singapore, 9 July 1999. [Google Scholar]
  13. Chandrakar, S.; Gupta, D.; Majumder, M.K. Impact of TSV bump and redistribution layer on crosstalk delay and power loss. Mem.—Mater. Devices Circuits Syst. 2023, 4, 100040. [Google Scholar] [CrossRef]
  14. Pathak, A.; Friedrich, G.; Teutsch, T. Non-Formaldehyde based electroless Cu deposition for Advanced Packaging. In Proceedings of the 2020 IEEE 22nd Electronics Packaging Technology Conference (EPTC), Singapore, 2–4 December 2020. [Google Scholar]
  15. Kim, M.J.; Lee, S.H.; Suk, K.L.; Jang, J.G.; Jeon, G.-J.; Choi, J.-I. Novel 2.5D RDL Interposer Packaging: A Key Enabler for the New Era of Heterogenous Chip Integration. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021. [Google Scholar]
  16. Medhat, D. 2.5/3D IC Reliability Verification Has Come A Long Way. Semiconductor Engineering. Available online: https://semiengineering.com/2-5-3d-ic-reliability-verification-has-come-a-long-way (accessed on 1 August 2022).
  17. Wesling, P. The heterogeneous integration roadmap: Enabling technology for systems of the future. In Proceedings of the 2020 Pan Pacific Microelectronics Symposium, Kohala Coast, HI, USA, 10–13 February 2020. [Google Scholar]
  18. Lau, J.H. Overview and outlook of through-silicon via (TSV) and 3D integrations. Microelectron. Int. 2011, 28, 8–22. [Google Scholar] [CrossRef]
  19. Ingerly, D.B.; Amin, S.; Aryasomayajula, L.; Balankutty, A.; Borst, D.; Chandra, A. Foveros: 3D integration and the use of face-to-face chip stacking for logic devices. In Proceedings of the 2019 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 7–11 December 2019. [Google Scholar]
  20. Gomes, W.; Khushu, S.; Ingerly, D.B.; Stover, P.N. 8.1 lakefield and mobility compute: A 3D stacked 10 nm and 22FFL hybrid processor system in 12 × 12 mm2, 1 mm package-on-package. In Proceedings of the 2020 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA, USA, 16–20 February 2020. [Google Scholar]
  21. Inoue, K.; Fujimura, T.; Takayama, M.; Onitake, S. Direct copper metallization on TGV (Thru-Glass-Via) for high performance glass substrate. In Proceedings of the 2017 21st European Microelectronics and Packaging Conference (EMPC) & Exhibition, Warsaw, Poland, 10–13 September 2017. [Google Scholar]
  22. Gambino, J.P.; Adderly, S.A.; Knickerbocke, J.U. An overview of through-silicon-via technology and manufacturing challenges. Microelectron. Eng. 2015, 135, 73–106. [Google Scholar] [CrossRef]
  23. Lai, Z.; Liu, D.; Zhao, T.; Zhang, Y.; Liang, X.; Sun, R. Directly Electroplated Metallization on Through Glass Via (TGV) Using Silver Nanowires Conductive Composite as Seed Layer. In Proceedings of the 2023 24th International Conference on Electronic Packaging Technology (ICEPT), Shihezi City, China, 8–11 August 2023. [Google Scholar]
  24. Gallois-Garreignot, S.; Benzima, N.; Benmussa, E.; Moutin, C.; Bouchard, P.O.; Fiori, V.; Tavernier, C. Qualification of bumping processes: Experimental and numerical investigations on mechanical stress and failure modes induced by shear test. Microelectron. Reliab. 2015, 55, 980–989. [Google Scholar] [CrossRef]
  25. Libres, J.; Arroyo, J.C. Investigation of Bump Crack and Deformation on Pb-Free Flip Chip Packages. In Proceedings of the 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 1–4 June 2010. [Google Scholar]
  26. Nam, S.; Kang, J.; Lee, I.; Kim, Y.; Yu, H.J.; Kim, D.-W. Investigation on Package Warpage and Reliability of the large size 2.5D Molded Interposer on Substrate (MIoS) Package. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022. [Google Scholar]
  27. Jin, S.; Do, W.; Jeong, J.; Cha, H.; Jeong, Y.; Khim, J. Substrate Silicon Wafer Integrated Fan-out Technology (S-SWIFT®) Packaging with Fine Pitch Embedded Trace RDL. In Proceedings of the 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 31 May–3 June 2022. [Google Scholar]
  28. Abdullah, M.F.; Lee, H.W. Technology review of CNTs TSV in 3D IC and 2.5D packaging: Progress and challenges from an electrical viewpoint. Microelectron. Eng. 2024, 290, 112189. [Google Scholar] [CrossRef]
  29. Gambino, J.P.; Doan, T.; Trapasso, J.; Musante, C.; Dang, D.; Vanslette, D. Through-silicon-via Process Control in Manufacturing for SiGe Power Amplifiers. In Proceedings of the 2013 IEEE 63rd Electronic Components and Technology Conference, Las Vegas, NV, USA, 28–31 May 2013. [Google Scholar]
  30. Dou, H.; Yang, M.; Chen, Y.; Qiao, Y. Analysis of the structure evolution and crack propagation of Cu-Filled TSV after thermal shock test. In Proceedings of the 2017 18th International Conference on Electronic Packaging Technology (ICEPT), Harbin, China, 16–19 August 2017. [Google Scholar]
  31. Lee, C.-M.; Chen, H.-P.; Chang, Y.-C.; Hsieh, W.-K.; Kao, L.-H. Fluorocarbon Film Deposition Using Reactive Ion Etcher and Its Application for Physical Analysis of 3D Stacking TSV Micro Bump and Hybrid Bonding. In Proceedings of the 2024 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Singapore, 15–18 July 2024. [Google Scholar]
  32. Ji, L.; Jing, X.; Xue, K.; Xu, C.; He, H.; Zhang, W. Effect of annealing after copper plating on the pumping behavior of through silicon vias. In Proceedings of the 2014 15th International Conference on Electronic Packaging Technology, Chengdu, China, 12–15 August 2014. [Google Scholar]
  33. Li, Z.; Ma, L.; Zhao, X.; Wang, Y.; Guo, F. Effect of annealing process on microstructure of Cu-TSV. In Proceedings of the 2017 18th International Conference on Electronic Packaging Technology (ICEPT), Harbin, China, 16–19 August 2017. [Google Scholar]
  34. Zhang, M.; Chen, F.; Qin, F.; Chen, S.; Dai, Y. Correlations between Microstructure and Residual Stress of Nanoscale Depth Profiles for TSV-Cu/TiW/SiO2/Si Interfaces after Different Thermal Loading. Materials 2023, 16, 449. [Google Scholar] [CrossRef]
  35. Shao, J.; Shi, T.; Du, L.; Su, L.; Lu, X.; Liao, G. Analysis on annealing-induced stress of blind-via TSV using FEM. Front. Mech. Eng. 2018, 13, 401–410. [Google Scholar] [CrossRef]
  36. Chan, Y.S.; Li, H.Y.; Zhang, X. Thermo-Mechanical Design Rules for the Fabrication of TSV Interposers. IEEE Trans. Components Packag. Manuf. Technol. 2013, 4, 633–640. [Google Scholar] [CrossRef]
  37. Kong, L.; Rudack, A.C.; Krueger, P.; Zschech, E.; Arkalgud, S.; Diebold, A.C. 3D-interconnect Visualization of extrusion and voids induced in Cu-filled TSVs at various temperature by XRM. Microelectron. Eng. 2012, 92, 24–28. [Google Scholar] [CrossRef]
  38. Liu, T.; Liu, S.; Hu, X.; Dong, F.; Chen, Z.; Peng, H. Study on the Effect of Film Process on Interface and Interconnection Reliability in the TSV Structure. In Proceedings of the 2024 25th International Conference on Electronic Packaging Technology (ICEPT), Tianjin, China, 7–9 August 2024. [Google Scholar]
  39. Beyne, E. Reliable Via-Middle Copper Through-Silicon Via Technology for 3-D Integration. IEEE Trans. Components Packag. Manuf. Technol. 2016, 6, 983–992. [Google Scholar] [CrossRef]
  40. Zheng, Z.; Huang, Y.-T.; Wang, Z.; Zhang, M.; Wang, W.-T.; Chung, C.-C.; Cherng, S.-J.; Tsai, Y.-H.; Li, P.-C.; Lu, Z.; et al. Electrodeposition of (111)-oriented and nanotwin-doped nanocrystalline Cu with ultrahigh strength for 3D IC application. Nanotechnology 2021, 32, 225702. [Google Scholar] [CrossRef]
  41. Lin, C.-C.; Hu, C.-C. The Ultrahigh-Rate Growth of Nanotwinned Copper Induced by Thiol Organic Additives. J. Electrochem. Soc. 2020, 167, 082505. [Google Scholar] [CrossRef]
  42. Lin, T.C.; Liang, C.L.; Wang, S.B.; Lin, Y.S.; Kao, C.L.; Tarng, D.; Lin, K.L. Inhibiting the detrimental Cu protrusion in Cu through-silicon-via by highly (111)-oriented nanotwinned Cu. Scr. Mater. 2021, 197, 113782. [Google Scholar] [CrossRef]
  43. Kuo, Y.-H.; Tran, D.-P.; Ong, J.-J.; Tu, K.N.; Chen, C. Hybrid Cu-to-Cu bonding with nano-twinned Cu and non-conductive paste. J. Mater. Res. Technol. 2022, 18, 859–871. [Google Scholar] [CrossRef]
  44. Chen, C.; Cherng, S.-J.; He, C.; Chung, C.-C.; Wang, S.; Huang, Y.-T.; Feng, S.P. Nanotwinning-assisted structurally stable copper for fine-pitch redistribution layer in 2.5D/3D IC packaging. J. Mater. Res. Technol. 2023, 27, 4883–4890. [Google Scholar] [CrossRef]
  45. Pan, K.; Xu, J.; Lai, Y.; Park, S.; Okoro, C.; Joshi, D. Investigation of Copper and Glass Interaction in Through Glass Via (TGV) During Thermal Cycling. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021. [Google Scholar]
  46. Shorey, A.; Pollard, S.; Streltsov, A.; Piech, G.; Wagner, R. Development of substrates for through glass vias (TGV) for 3DS-IC integration. In Proceedings of the 2012 IEEE 62nd Electronic Components and Technology Conference, San Diego, CA, USA, 29 May–1 June 2012. [Google Scholar]
  47. Lu, Y.; Wang, J. Thermo-mechanical Reliability of Micro-joints in a RF Packaging with Through Glass Via (TGV) Interposer. In Proceedings of the 2024 25th International Conference on Electronic Packaging Technology (ICEPT), Tianjin, China, 7–9 August 2024. [Google Scholar]
  48. Chang, Y.-H.; Tseng, P.-L.; Lin, J.-C.; Chen, J.-C.; Huang, M.-C.; Lin, H.-Y.; Pollard, S.; Mazumder, P. Communication-defect-free filling of high aspect ratio through vias in ultrathin glass. J. Electrochem. Soc. 2019, 166, D3155. [Google Scholar] [CrossRef]
  49. Ogutu, P.; Fey, E.; Dimitrov, N. Superconformal Filling of High Aspect Ratio through Glass Vias (TGV) for Interposer Applications Using TNBT and NTBC Additives. J. Electrochem. Soc. 2015, 162, D457. [Google Scholar] [CrossRef]
  50. Han, S.; Chang, P.; Zhou, Z.; Wu, Y.; Li, M.; Hang, T. Effect of intermolecular and intramolecular synergism on the inhibition from hybrid additives in damascene copper electrodeposition. Electrochim. Acta 2024, 483, 144001. [Google Scholar] [CrossRef]
  51. Hai, N.T.M.; Broekmann, P. Smart Hybrid Polymers for Advanced Damascene Electroplating: Combination of Superfill and Leveling Properties. Fundam. Res. 2015, 2, 1096–1099. [Google Scholar] [CrossRef]
  52. Chen, Z.; Zhang, J.; Wang, S.; Wong, C.-P. Synergistic Effect of Additives on Filling of Tapered TGV vias by copper electroplating. ChemElectroChem 2024, 4, 1445–1458. [Google Scholar]
  53. Yang, G.; Li, L.; Chang, L.; Yang, W.; Zhang, G.; Zhang, Z. Residual Stress Control Based on Electroplated Metal grain chracteristics in panel-level glass advanced packaging. In Proceedings of the 2024 25th International Conference on Electronic Packaging Technology (ICEPT), Tianjin, China, 7–9 August 2024. [Google Scholar]
  54. Lau, J.H. Recent Advances and Trends in Cu–Cu Hybrid Bonding. IEEE Trans. Components Packag. Manuf. Technol. 2023, 13, 399–425. [Google Scholar] [CrossRef]
  55. Nicolas, S.; Suarez-Berru, J.-J.; Bresson, N.; Socquet-Clerc, C.; Assous, M.; Borel, S. 3-layer Fine Pitch Cu-Cu Hybrid Bonding Demonstrator With High Density TSV For Advanced CMOS Image Sensor Applications. In Proceedings of the 2024 IEEE 74th Electronic Components and Technology Conference (ECTC), Denver, CO, USA, 28–31 May 2024. [Google Scholar]
  56. Lee, T.-k.; Yang, H.; Dutta, I. Damage Mechanisms in Through-Silicon Vias Due to Thermal Exposure. J. Electron. Mater. 2024, 53, 1214–1222. [Google Scholar] [CrossRef]
  57. Pearson, R.A.; Oldak, R. Predicting the strength of underfill/polyimide interfaces. In Proceedings of the 9th International Symposium on Advanced Packaging Materials: Processes, Properties and Interfaces (IEEE Cat. No.04TH8742). 2004 Proceedings, Atlanta, GA, USA, 24–26 March 2004. [Google Scholar]
  58. Shi, L.; Chen, L.; Zhang, D.W.; Liu, E.; Huang, J.-X. Investigation on Solder Bump Process Polyimide Cracking for wafer level packaging. In Proceedings of the 2016 17th International Conference on Electronic Packaging Technology (ICEPT), Wuhan, China, 16–19 August 2016. [Google Scholar]
  59. De Haven, K.; Dietz, J. Controlled Collapse Chip Connection (C4)—An Enabling Technology. In Proceedings of the 1994 Proceedings. 44th Electronic Components and Technology Conference, Washington, DC, USA, 1–4 May 1994. [Google Scholar]
  60. Yu, J.; Anand, A.; Mui, Y.C.; Srinivasan, P.; Master, R. Reliability Study on Copper Pillar Bumping with Lead Free Solder. In Proceedings of the 2007 9th Electronics Packaging Technology Conference, Singapore, 7–9 December 2008. [Google Scholar]
  61. Taneja, D.; Danovitch, D.; Chakroun, A.; Dufort, C.; Gagnon, P.; Martel, R. Thermal Compression Bonding with Pre-Applied Underfill for Very Large Die. In Proceedings of the 2020 IEEE 70th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 1–4 June 2020. [Google Scholar]
  62. Lim, A.B.Y.; Rezvani, A.; Bacay, R.D.; Colosimo, T.; Yauw, O.; Clauberg, H. High throughput thermo-compression bonding with pre-applied underfill for 3D memory applications. In Proceedings of the 2016 IEEE 18th Electronics Packaging Technology Conference (EPTC), Singapore, 30 November–3 December 2016. [Google Scholar]
  63. Ito, M.; Kato, J.; Nonaka, T. 3D Analysis of Flip Chip Interconnection using FIB-SEM Slice and View. In Proceedings of the 2015 International Conference on Electronics Packaging and iMAPS All Asia Conference (ICEP-IAAC), Kyoto, Japan, 14–17 April 2015. [Google Scholar]
  64. de Morais, L.D.; Chevalliez, S.; Mouleres, S. Low temperature FIB cross section: Application to indium micro bumps. Microelectron. Reliab. 2014, 54, 1802–1805. [Google Scholar] [CrossRef]
  65. Chery, E.; Brady-Boyd, A.; Lin, Y.; Grimes, M.; Springer, D.; Slabbekoorn, J.; Walsby, E.; Croes, K.; Beyne, E. Vapor deposited thin organic–inorganic capping layers preventing copper line oxidation in polymer-based RDL technologies. Microelectron. Eng. 2022, 266, 111896. [Google Scholar] [CrossRef]
  66. Chery, E.; Slabbekoorn, J.; Pinho, N.; Miller, A.; Beyne, E. Advances in Photosensitive Polymer Based Damascene RDL Processes Toward Submicrometer Pitches With More Metal Layers. In Proceedings of the 2021 IEEE 71st Electronic Components and Technology Conference (ECTC), San Diego, CA, USA, 1 June–4 July 2021. [Google Scholar]
  67. Zhang, Y.-B.; Gao, L.-Y.; Li, X.; Li, Z.; Ma, X.-L.; Liu, Z.-Q. Electroplating nanotwinned copper for ultrafine pitch redistribution layer RDL of advanced packaging technology. In Proceedings of the 2021 22nd International Conference on Electronic Packaging Technology (ICEPT), Xiamen, China, 14–17 September 2021. [Google Scholar]
  68. Kwon, J.; Ju, J.; Kim, S.; Sohn, E.; Khim, J. Electromigration Performance of Fine-Line Cu Redistribution Layer (RDL) for High Density Fan Out Packaging. In Proceedings of the 2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2023. [Google Scholar]
  69. Tsai, M.-Y.; Lin, T.-C.; Huang, Y.-C.; Wang, S.-B.; Lin, Y.-S.; Lin, K.-L. Effect of Microstructure on the Electromigration Performance of 2-m-Cu Redistribution Line under In-situ SEM Observation. In Proceedings of the 2024 IEEE CPMT Symposium Japan (ICSJ), Kyoto, Japan, 13–15 November 2024. [Google Scholar]
  70. Viswanathan, V.; Jiao, L. Developments in Advanced Packaging Failure Analysis using Correlated X-Ray Microscopy and LaserFIB. In Proceedings of the 2021 IEEE 23rd Electronics Packaging Technology Conference (EPTC), Singapore, 7–9 December 2021. [Google Scholar]
  71. Viswanathan, V.; Maeda, E.; Yamamoto, C.; Oda, T.; Jiao, L. Targeted Sample Preparation and Analysis of Advanced Packaging using Correlated X-Ray Microscopy and Laser FIB. In Proceedings of the 2022 IEEE CPMT Symposium Japan (ICSJ), Kyoto, Japan, 9–11 November 2022. [Google Scholar]
  72. Zhang, S.Y.; Zhang, Y.J.; Kwek, W.M.; Goi, L.S.; Trigg, A.D.; Tang, L.J. Application of Transmission EBSD on high topography surface Aluminum thin film. In Proceedings of the 2014 IEEE 16th Electronics Packaging Technology Conference (EPTC), Singapore, 3–5 December 2014. [Google Scholar]
  73. Wang, C.; Luo, K.; He, P.; Zhou, H.; Li, R.; Zhang, Z.; Yu, D.; Zhang, S. Quasi in-situ observation of Ni-plated solder from various fracture mechanism using EBSD. J. Mater. Res. Technol. 2023, 24, 1875–1881. [Google Scholar] [CrossRef]
  74. Wakamoto, K.; Yasugi, D.; Otsuka, T.; Nakahara, K.; Namazu, T. Fracture Mechanism of Sintered Silver Film Revealed by In Situ SEM Uniaxial Tensile Loading. IEEE Trans. Components Packag. Manuf. Technol. 2024, 14, 240–250. [Google Scholar] [CrossRef]
  75. Auersperg, J.; Vogel, D.; Auerswald, E.; Rzepka, S.; Michel, B. Nonlinear Copper Behavior of TSV and the Cracking Risks during BEoL-Built-up for 3D-IC-Integration. In Proceedings of the 2012 13th International Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Cascais, Portugal, 16–18 April 2012. [Google Scholar]
  76. Belu, A.M.; Graham, D.J.; Castner, D.G. Time-of-flight secondary ion mass spectrometry: Techniques and applications for the characterization of biomaterial surfaces. Biomaterials 2003, 24, 3635–3653. [Google Scholar] [CrossRef]
  77. Chelgani, S.C.; Hart, B. TOF-SIMS studies of surface chemistry of minerals subjected to flotation separation—A review. Miner. Eng. 2014, 57, 1–11. [Google Scholar] [CrossRef]
  78. Costina, A.; Wöhrmann, M.; Schiffer, M.; Schneider-Ramelow, M. Manufacturing and Characterization of Thin-Film Tantalum Pentoxide Integrated Capacitors. In Proceedings of the 2024 IEEE 10th Electronics System-Integration Technology Conference (ESTC), Berlin, Germany, 11–13 September 2024. [Google Scholar]
  79. Klengel, R.; Bennemann, S.; Schischka, J.; Grosse, C.; Petzold, M. Advanced Failure Analysis Methods and Microstructural Investigations of Wire Bond Contacts for Current Microelectronic System Integration. In Proceedings of the 2009 European Microelectronics and Packaging Conference, Rimini, Italy, 15–18 June 2009. [Google Scholar]
Figure 1. Packages fabricated using 2.5D versus 3D IC designs.
Figure 1. Packages fabricated using 2.5D versus 3D IC designs.
Jmmp 09 00158 g001
Figure 2. Schematics of power amplifier dies on a substrate with (a) a ground connection using a wirebond, (b) a ground connection using a solder bump, and (c) a ground connection using a TSV.
Figure 2. Schematics of power amplifier dies on a substrate with (a) a ground connection using a wirebond, (b) a ground connection using a solder bump, and (c) a ground connection using a TSV.
Jmmp 09 00158 g002
Figure 3. Failure modes of TSV-Cu/TiW/SiO2/Si interfaces: (a,b) as fabricated; (c,d) thermal cycling; (e,f) annealing [34].
Figure 3. Failure modes of TSV-Cu/TiW/SiO2/Si interfaces: (a,b) as fabricated; (c,d) thermal cycling; (e,f) annealing [34].
Jmmp 09 00158 g003
Figure 4. Top-view (inset) and cross-sectional DBFIB microstructures of (a) nano-twinned Cu TSV and (b) after thermal annealing at 250 °C for 2 h. Typical cross-sectional FIB images of (c) the micro = bumps and (d) nt-Cu films. Cross-sectional images of composite copper when filling via holes for (e) l day and (f) 60 days of storage [42,43,44].
Figure 4. Top-view (inset) and cross-sectional DBFIB microstructures of (a) nano-twinned Cu TSV and (b) after thermal annealing at 250 °C for 2 h. Typical cross-sectional FIB images of (c) the micro = bumps and (d) nt-Cu films. Cross-sectional images of composite copper when filling via holes for (e) l day and (f) 60 days of storage [42,43,44].
Jmmp 09 00158 g004
Figure 5. EDS maps of several elements at the FIB cross-sectional area of TSV2–L and TSV2–R in Figure 4a. (a) SEM image of the scanned area, (b) Si map, (c) Cu map, and (d) Sn map [56].
Figure 5. EDS maps of several elements at the FIB cross-sectional area of TSV2–L and TSV2–R in Figure 4a. (a) SEM image of the scanned area, (b) Si map, (c) Cu map, and (d) Sn map [56].
Jmmp 09 00158 g005
Figure 6. SEM views after FIB cross-sectioning at 25 °C (a) with a layer of platinum and (b) without a protection layer on the top of the indium micro-bump. SEM view after FIB cross-sectioning at (c) 0 °C and (d) −193 °C with a layer of platinum on the top of the indium micro-bump [64].
Figure 6. SEM views after FIB cross-sectioning at 25 °C (a) with a layer of platinum and (b) without a protection layer on the top of the indium micro-bump. SEM view after FIB cross-sectioning at (c) 0 °C and (d) −193 °C with a layer of platinum on the top of the indium micro-bump [64].
Jmmp 09 00158 g006
Figure 7. FIB cross-sections of a copper metal line encapsulated in a polymer. (a) Reference unstressed sample. (b) After 1000 h spent at 150 °C, a 330 nm thick oxide layer is present at the top of the metal line, and Kirkendall voids appeared at the interfacial copper oxide. (c) After HTS, oxidation is not observed on lines protected by a capping layer thicker than 8 nm. (d) An 80 nm thick oxide layer appears as soon as the thickness of the capping layer decreases to 6 nm. After 1000 cycles of thermal cycling stress, oxidation is not detected on top of the copper lines protected by a capping layer thicker than 8 nm, regardless of the presence of a plasma pretreatment. (e) No pretreatment was applied before the cap deposition. (f) Plasma pretreatment was performed [65].
Figure 7. FIB cross-sections of a copper metal line encapsulated in a polymer. (a) Reference unstressed sample. (b) After 1000 h spent at 150 °C, a 330 nm thick oxide layer is present at the top of the metal line, and Kirkendall voids appeared at the interfacial copper oxide. (c) After HTS, oxidation is not observed on lines protected by a capping layer thicker than 8 nm. (d) An 80 nm thick oxide layer appears as soon as the thickness of the capping layer decreases to 6 nm. After 1000 cycles of thermal cycling stress, oxidation is not detected on top of the copper lines protected by a capping layer thicker than 8 nm, regardless of the presence of a plasma pretreatment. (e) No pretreatment was applied before the cap deposition. (f) Plasma pretreatment was performed [65].
Jmmp 09 00158 g007
Figure 8. (a) Marking on the SOC surface. (b) Aligning the XRM and FIB surface positions based on the surface-marking data in (a). (c) Locating the surface position of the sample in the FIB field of view according to the internal defect position identified using XRM, and (d) performing laser milling in the vicinity. (e) Exposure of the defect location. (f) High-resolution images of the defective solder balls after gentle polishing with Ga ions.
Figure 8. (a) Marking on the SOC surface. (b) Aligning the XRM and FIB surface positions based on the surface-marking data in (a). (c) Locating the surface position of the sample in the FIB field of view according to the internal defect position identified using XRM, and (d) performing laser milling in the vicinity. (e) Exposure of the defect location. (f) High-resolution images of the defective solder balls after gentle polishing with Ga ions.
Jmmp 09 00158 g008
Figure 9. EBSD maps generated at different aging times: (a) SEM; (b) phase diagram, red is Cu, orange is the CueSn alloy, and green is the NieSn alloy; (c) Euler diagram [73].
Figure 9. EBSD maps generated at different aging times: (a) SEM; (b) phase diagram, red is Cu, orange is the CueSn alloy, and green is the NieSn alloy; (c) Euler diagram [73].
Jmmp 09 00158 g009
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Zhang, H.; Ma, M.; Liu, Y.; Zhang, W.; Zhang, C. Recent Applications of Focused Ion Beam–Scanning Electron Microscopy in Advanced Packaging. J. Manuf. Mater. Process. 2025, 9, 158. https://doi.org/10.3390/jmmp9050158

AMA Style

Zhang H, Ma M, Liu Y, Zhang W, Zhang C. Recent Applications of Focused Ion Beam–Scanning Electron Microscopy in Advanced Packaging. Journal of Manufacturing and Materials Processing. 2025; 9(5):158. https://doi.org/10.3390/jmmp9050158

Chicago/Turabian Style

Zhang, Huan, Mengmeng Ma, Yuhang Liu, Wenwu Zhang, and Chonglei Zhang. 2025. "Recent Applications of Focused Ion Beam–Scanning Electron Microscopy in Advanced Packaging" Journal of Manufacturing and Materials Processing 9, no. 5: 158. https://doi.org/10.3390/jmmp9050158

APA Style

Zhang, H., Ma, M., Liu, Y., Zhang, W., & Zhang, C. (2025). Recent Applications of Focused Ion Beam–Scanning Electron Microscopy in Advanced Packaging. Journal of Manufacturing and Materials Processing, 9(5), 158. https://doi.org/10.3390/jmmp9050158

Article Metrics

Back to TopTop