Next Article in Journal
An Improved Fick’s Law Algorithm Based on Dynamic Lens-Imaging Learning Strategy for Planning a Hybrid Wind/Battery Energy System in Distribution Network
Previous Article in Journal
A Tripartite Evolutionary Game Analysis of Participant Decision-Making Behavior in Mobile Crowdsourcing
Previous Article in Special Issue
Modeling the Kinetics of the Singlet Oxygen Effect in Aqueous Solutions of Proteins Exposed to Thermal and Laser Radiation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Mathematical and Experimental Model of Neuronal Oscillator Based on Memristor-Based Nonlinearity

1
Laboratory of Stochastic Multistable Systems, National Research Lobachevsky State University of Nizhny Novgorod, Nizhny Novgorod 603022, Russia
2
Institute of Nanotechnologies Electronics and Equipment Engineering, Southern Federal University, Taganrog 347922, Russia
*
Author to whom correspondence should be addressed.
Mathematics 2023, 11(5), 1268; https://doi.org/10.3390/math11051268
Submission received: 31 December 2022 / Revised: 14 February 2023 / Accepted: 1 March 2023 / Published: 6 March 2023

Abstract

:
This article presents a mathematical and experimental model of a neuronal oscillator with memristor-based nonlinearity. The mathematical model describes the dynamics of an electronic circuit implementing the FitzHugh–Nagumo neuron model. A nonlinear component of this circuit is the Au/Zr/ZrO2(Y)/TiN/Ti memristive device. This device is fabricated on the oxidized silicon substrate using magnetron sputtering. The circuit with such nonlinearity is described by a three-dimensional ordinary differential equation system. The effect of the appearance of spontaneous self-oscillations is investigated. A bifurcation scenario based on supercritical Andronov–Hopf bifurcation is found. The dependence of the critical point on the system parameters, particularly on the size of the electrode area, is analyzed. The self-oscillating and excitable modes are experimentally demonstrated.

1. Introduction

The development of the so-called memristive technologies has attracted growing attention in modern electronic engineering and neurotechnologies. Leon Chua was the first to introduce the term “memristor” in 1971 to describe the missing fourth element of electric circuits. This element would have to be passive and change its resistance depending on the history of charge flow [1]. The concept of a memristor was then associated with the effect of resistive switching in thin-film nanostructures of the metal–dielectric–metal type [2]. It involved local restructuring of the atomic structure and composition of the dielectric under the action of an inhomogeneous electric field, gradients of temperature, and concentration. Memristive behavior was influenced by quite a lot of parameters, in particular, the materials from which the device is made, as well as the methods for synthesizing memristors. At the moment, the most popular methods include magnetron sputtering [3,4], atomic layer deposition [5], and pulsed laser deposition [6]. These memristive structures are compatible with the CMOS (complementary metal–oxide–semiconductor) process and are suitable for creating devices of nonvolatile resistive memory (resistive random-access memory—RRAM) and logical devices [7,8] because after the power is turned off, the specified resistive state can be stored for a long time. Using memristors paves the way for the concept of “computing in memory” (logic in memory), i.e., storing the computation result in the same cell from which it was obtained. This will avoid the bottleneck of the von Neumann architecture (limiting the bandwidth between the processor and memory compared to the amount of memory).
Another point of interest in memristive devices is concerned with the design of nonlinear electronic circuits for several applications, one of which is to generate robust random dynamics over a large range of parameter values. Based on these considerations, a circuit was presented in [9] that indicated unstable behavior over a large range of parameter values and showed minimal requirements for the shape of the nonlinearity of the memristor. In [10], artificial Hodgkin–Huxley neurons were described in the form of a circuit for emulating neural functions, in which the key elements were storage devices that physically demonstrated the integrate-and-fire function. Thin-film devices with the effect of resistive switching enabled completely new hardware implementations of electronics characterized by ultra-low power consumption, high performance of neuromorphic computing, and compactness, with the possibility of at least partial self-learning on the non-annotated streaming data. This became a basis for a neuristor circuit demonstrating the mechanism of spike generation that was presented in [11]. However, this circuit required the use of two Mott memristors connected in parallel with capacitors, as well as an output RC branch. A few years later [12] a circuit of a spiking neuron was proposed, which also contained two nonlinear elements: a memristive and a memcapacitive device. These elements, however, were already placed in one integrating branch based on capacitors. Also, based on the memristive structure, a device was implemented for use in prototypes of spiking neural networks, the results of which provide the basis for autonomous neuromorphic circuits with uncontrolled learning development [13]. A wealth of nonlinear dynamical modes was then found in such circuits, including limit cycles that emerged from different bifurcation scenarios [14,15], complex invariant sets, and chaotic attractors [16,17,18]. It should also be noted that attempts to create brain-like architectures based on simple logic elements (triggers) at the end of the last century were unsuccessful. The application of memristive devices in combination with the principles of brain operation opens up wide opportunities for the development of new computing systems [19,20].
One of the intriguing applications of memristor-based electronic circuits focuses on building biologically plausible models of neurons and neuronal networks [21]. To a certain extent, memristive nonlinearity operates quite similarly to voltage-dependent ionic channel dynamics [22,23]. Obviously, direct comparison of electronics implementing voltage-dependent currents in memristors with ion transport in living neurons is hardly possible. However, mechanisms of nonlinear dynamics and bifurcation scenarios leading to signal generation in neurons and memristors are very similar. Another interesting point in neurodynamics is synaptic plasticity [24]. Synaptic connections between living neurons represent activity-dependent variables. In particular, in spike-timing-dependent plasticity (STDP), the strength of synaptic connections changes depending on relative times of spike occurrences at neighboring neurons. The STDP is considered to be responsible for memory and storing new information and patterns in the brain at the cellular level. Memristors successfully modeled synaptic plasticity reproducing STDP learning curves [25,26]. Providing a rich variety of neuron-like signals, including spike generation, oscillation, multistability, and chaotic dynamics, together with effective implementation of synaptic dynamics and plasticity, today’s memristors are considered to be the best candidates for use in energy-efficient neuromorphic computing systems.
In experimental fabrication, several types of memristors composed of different materials have been proposed. In this work, we consider the Au/Zr/ZrO2(Y)/TiN/Ti memristive device [13,16]. Taking a simplified mathematical description of the voltage-current characteristics of this device, we incorporate it into electronic circuit modeling the FitzHugh–Nagumo (FHN) neuron [27]. Exploring the model and presenting the results in numerical simulations, we analyzed basic bifurcation scenarios of oscillation appearance. A memristive neuron-like generator based on a metal oxide device was also experimentally implemented. This generator can be both in self-oscillating mode and at rest. For the first time, to our knowledge, we are conducting an experimental study of such a memristor-based generator and comparing the results of numerical simulation with experimental data.
At the moment, few works using a memristor in the FHN circuit have been carried out. It should be noted that a non-autonomous memristive neural circuit of the third order Fitzhugh–Nagumo neuron using an emulator of a generalized memristive diode bridge (MDB) of the first order and an AC voltage source was developed in [28]. Although such a nonlinear circuit was related to the development of fundamental nonlinear dynamics, it had little relation to the memristor behavior. Another successful work on the integration of memristive devices into the FHN neuron generator was presented [29], where it was demonstrated by the implementation of binary logical operations and the implementation of binary adders. A comparison was made between the binary summator of the memristive FHN neuron and the binary summator of the FHN neuron. These reports confirmed the importance of research in this direction, but they do not take into account the internal properties of the memristive device itself (the process of ion and electron transport through filaments [30]).
The interaction between FHN oscillators through the Au/Zr/ZrO2(Y)/TiN/Ti memristive device of this type was studied in our previous work. It was shown that a memristive device induces complex dynamics in a small ensemble of oscillators [31,32,33].
In this work, we present a mathematical model and corresponding electric circuit of a memristive FHN neuron. We believe that this work is of great importance for the creation of a biologically plausible oscillator due to the similarity of resistive switching and opening of ionic channels in the biological neuron.

2. Model

2.1. Memristive Device

We considered the electronic circuit of a modified FitzHugh-Nagumo neuron [27,34] as a basic model. The activity of ion channels in the FHN model is simulated using a cubic function. In our work, we replaced the cubic function with a memristive one, thus making our memristor model the ion channels of a neuron.
The nonlinear scheme of a memristive generator FHN, as shown in Figure 1, can be described as follows: part (A) corresponds to memristive nonlinearity. In this figure, U is the voltage and Im is the corresponding current. Part (B) consists of linear resistors R6, R7, linear inductors L1, L2, and linear capacitance C in parallel with them, as well as power supplies E1, E2, and a commuted silicon diode D1. The initial condition Vini can be loaded into the neuron via an analog switch controlled by a periodic Vsyn signal.
Here, the nonlinear element is presented by the Au/Zr/ZrO2(Y)/TiN/Ti memristor fabricated on the oxidized silicon substrate using magnetron sputtering. The details of technological operations can be found in other works [3,13,16,35,36,37]. To study the structure of the element, we used the high-resolution cross-sectional transmission electron microscopy (XTEM) operating the Jeol JEM-2100F microscope («JEOL» company, Japan) with an acceleration voltage of 200 kV. The cross sections of memristive devices were prepared by conventional technology using the equipment of Gatan Inc. We also developed a custom topology to fabricate the array of paired micro-scale (20 × 20 μm2) cross-point memristive devices (overall 44 devices) with the described thin-film structure on a silicon chip and mounted the chip in a standard 64-pin package. Electrical measurements and electroforming were carried out at room temperature by using the Agilent B1500A semiconductor device analyzer («ASTANA» company, Russia). Such chips containing several memristive devices are necessary for further studies of complex nonlinear effects in ensembles of oscillators.
Figure 2 shows the result of the cross-sectional transmission electron microscopy (TEM) of the Au/Zr/ZrO2(Y)/TiN/Ti memristor.
After electroforming at negative bias, the memristive device demonstrated bipolar resistive switching with a resistance window between the high-resistance (HRS) and low-resistance (LRS) states RHRS/RLRS ≈ 104 at a reading voltage of Ur = −0.5 V (Figure 3). The voltage values when switching the experimental device were Vset = −5 V and Vreset = 6 V. Positive voltage induced switching from LRS to HRS (RESET), and negative voltage resulted in switching from HRS to LRS (SET). Devices on this basis show a good characteristic for neuromorphic computing, where constant weights are necessary for accurate training and computations [13].

2.2. Mathematical Model of a Memristor

The mathematical model of a memristive device used a general definition of a memristor as a dynamical system [37] and operated with the experimentally determined parameters and mechanisms of electron and ion transport inside the memristive device with a current applied to it.
The equation for the output of the memristive device represents the total electronic current dominating in LRS and HRS, respectively:
{ j m = x j lin + ( 1 x ) j nonlin j lin = | u | / ρ j nonlin = | u | B exp ( b | u | E b ) ,
As part of a state equation, an internal state variable x ∈ [0, 1] was introduced. This variable was determined by the fraction of the area of the structure occupied by filaments, the change of which depends on the migration of oxygen vacancies (effective migration barrier Em = −34.8) [30], activated by Joule heating (kT = 4.14 × 10−21 Joule) and applied electric voltage u. The transition between HRS and LRS was determined by the dynamic contribution to the total current of filaments and, consequently, by the state parameter. In these equations b = 3.2, B = 2.5 × 1021 are the coefficients determined by quadratic polynomial interpolation from experimental data of a physical device.
The total current density jm through the memristive device was determined by the transfer of charge carriers through defect states in the oxide material in the region of the filament rupture or the rest of the structure. Such a structure consists of a linear component jlin, which corresponds to ohmic conductivity ( ρ = 10−8—Coeff for Ohmic current) through filaments (conducting pathways in the memristive structure), and a nonlinear component jnonlin. The nonlinear transfer of charge carriers (effective barrier Eb = 38.6) is described by the Frenkel–Poole law based on the approximation of current–voltage characteristics in HRS.
The dynamics of the variable x are described by the following equations:
d x d t = { A exp ( E m α 1 u ) ( 1 ( 2 x 1 ) 2 p ) , u < V set 0 , V set < u < V reset A exp ( E m + α 1 u ) ( 1 ( 2 x 1 ) 2 p ) , u > V reset ,
The state equations include a window function f ( x , p ) = ( 1 ( 2 x 1 ) 2 p ) previously introduced by Yu. N. Joglekar and S. J. Wolf [38]. In this function, p is a positive integer specifying its shape. It takes a zero value of x outside the interval (0, 1).
In the above equations α1 = 30.9, A = 109—coefficients corresponding to experimental data. Vset = −3 and Vreset = 3 are the threshold voltages for resistive switching.
The I–V curves of the hysteresis type of this device, obtained as a result of numerical simulation, are shown in Figure 4. Supplying voltage in the form of a rectangular pulse with values of ±5 V to memristive device (1)–(2) during 2000 ms. The initial state of the storage device was in HRS, then the device switched to LRS. The obtained characteristics correspond qualitatively to the experimental Au/Zr/ZrO2(Y)/TiN/Ti device.

2.3. Memristive Oscillator Model

To model a neuronal oscillator, we took a modified FHN neuron explored mathematically in [39] and implemented electronically in [34]. The model equations are expressed as follows:
{ d u d t = f ( u ) v d v d t = ε ( g ( u ) v ) η ,
where f ( u ) = γ · I m ( u ) · d is the nonlinear function as the product of the memristor current by its load resistance. The current function is the product of the total current density of the memristive structure multiplied by the area of its electrodes I m ( u ) = j m · S e ; g   ( u ) is a quasilinear function where g   ( u ) = α u if u 0 and g   ( u ) = β u if u > 0 , where α = 0.5 and β = 1.5 ; u is the transmembrane potential (membrane potential) of the FHN neuron; and v is a “restoring” variable (determines the dynamics of ion current responsible for restoring the equilibrium potential of the neuron cell). ε is the control parameter (bifurcation parameter) and η is the recovery parameter. The γ parameter controlling the excitability of the modeled neuron is also a normalization parameter. The parameter γ is obtained using the least squares method.
Then, we replaced (2) with (3). So that we obtained the following three-dimensional system describing the circuit dynamics:
d u d t = γ · [ x | u | ρ + ( 1 x ) | u | B exp ( b | u | E b ) ] · S e · d v d v d t = ε ( g ( u ) v ) η , d x d t = { A exp ( E m α 1 u ) ( 1 ( 2 x 1 ) 2 p ) , u < V set 0 , V set < u < V reset A exp ( E m + α 1 u ) ( 1 ( 2 x 1 ) 2 p ) , u > V reset
where the first equation of the system was obtained as follows:
  • The value of the linear and nonlinear components of the current density from (1) is substituted into the general formula of the current density of the memristive device;
  • The total current density is substituted into the formula for the current strength of the memristive device I m ( u ) ;
  • The resulting current strength is multiplied by the parameters γ and d, a nonlinear function f ( u ) is obtained, which is then substituted into the differential Equation (4).
We fixed the following parameter values: γ = 1.138   V 1 , η = 0.48 ,   p = 20 ,  Se = 4 × 10 14   m 2 , d = 10 3   Ω .

2.4. Numerical Investigation Methods

Nonlinear differential Equation (4) was solved numerically using the 4-order Runge–Kutta (RK4). The convergence of this method follows from the theorem: if the numerical method is stable and approximates the initial differential problem and the initial data with the order of accuracy O(hp), then it converges on the segment (x0, X] to the solution of the differential problem with the order O(hp). The full description of the method is given in [40]. The integration procedure was performed with a step of 0.02 and an error of 10−6 in the application software package for solving MATLAB version R2020b (The MathWorks, USA, purchased from the official website) technical computing problems [41,42,43]. Choosing the initial conditions, we obtained the following results when changing the control parameter.
To study the transition between excitable and oscillatory dynamical modes, we calculated a one-parameter bifurcation diagram illustrating changes in the oscillation amplitude depending on control parameters. To do this, we ran simulations from two sets of initial conditions, one taken close to the fixed point and the other far from it. After a sufficiently long transient process of 5000 ms, the trajectory of Equation (4) converged to a stationary mode, either the rest state or the state of periodic oscillations.
Then we analyzed how the critical parameter corresponding to oscillation appearance depended on the electrode area in the memristive nonlinearity.

2.5. Experimental Study of the Generator

The developed neuromorphic oscillator consisted of an analog electronic FHN neuron containing a memristive arrangement, a DPO 4054 oscilloscope («TEKTRONIX» company, USA) (Figure 5a), and an Agilent B1500a device analyzer for obtaining and studying the I–V characteristics of the device (Figure 5b). This neuromorphic oscillator operates as follows. The analog memristive neuron–like FHN neuron creates a signal in the form of a pulse, thereby affecting the memory device and thus imitating the reduction and oxidation of conductive filaments in the oxide (dielectric) layer of the memristive device.
The developed memristive neuron–like generator consists of two blocks. The first block is an oscillatory generator, or, as it is also called, an R–L generator, based on an operational amplifier-implemented inductance. The second is the block of excitation pulses (block of nonlinearity), which includes a storage device Au/Zr/ZrO2(Y)/TiN/Ti and a resistor representing a load (Figure 5c).
In this circuit, the voltage from the 1.5 V power supply is varied by a sequential switching on of an alternating resistor (from 0 to 150 kΩ). From the output point of the generator, the signal comes to the recording device (digital oscilloscope) in the form of an oscillogram.

3. Results

3.1. Memristive Neuron Model Dynamics

Numerical exploration of a 3D nonlinear dynamical system (4) reveals the existence of excitable and oscillatory dynamical modes. In the excitable mode, the model similar to living neurons possesses a stable fixed point of a node or focus type. For relatively small perturbations the trajectories converge to the vicinity of the stable point. However, for large enough perturbation, we obtained a response pulse of sufficiently high amplitude (Figure 6).
Analyzing system dynamics in the neighborhood of the stable fixed point, we find its focus type corresponding to damped oscillation (ε = 0.0003–0.89, see Figure 7).
The numerically calculated characteristics of the damped oscillations are presented in Table 1.
With decreasing ε , the real part of the fixed–point eigenvalues tends to decrease followed by increasing transient in the damped oscillation. With the further decrease of the parameter, we end up with periodic generation mode (ε = 0.00041–0.000003, see Figure 8).
The numerically calculated characteristics of the periodic generation mode oscillations are presented in Table 2.
A stable limit cycle appears in the phase space corresponding to the periodic generation of neuronal oscillations. Following the numerical simulations, it is likely to correspond to a supercritical Andronov–Hopf bifurcation with a negative first Lyapunov value. In the next subsection, we will go into more detail about the bifurcation scenario.
Thus, our model qualitatively demonstrates the key characteristics of the dynamics of neurons, including excitability and oscillatory dynamics.

3.2. Bifurcation Analysis

In the bifurcation analysis, we fixed all parameters except for ε and started by calculating the one-parameter bifurcation diagram for the fixed electrode area 50 × 50 μm2 of the memristive device. To detect possible subcritical modes or other attractors, we ran simulations for three different sets of initial conditions, [u(t = 0), v(t = 0), x(t = 0)], with the following values: y1 = [0.5, 0.25, 0.2], y2 = [−0.5, 0.004, 0.1], y3 = [2, 1, 0.5]. Transient time, e.g., estimation time of the transient process, was varied in the interval 100 ms < t < 50,000 ms with integration step h = 0.02. Next, the amplitude per cycle was calculated as the difference between the maximum and minimum cycle values for one period.
Figure 9 shows the dependence of the amplitude on parameter ε, and corresponding projections of phase trajectories on the (u, v) plane. Different curves correspond to different initial conditions. A critical parameter ε corresponds to the appearance of the non-zero oscillation amplitude.
At this point, the stable fixed point-of-focus type loses its stability and the stable limit cycle appears to the left of the critical point. The vicinity of critical ε corresponds to the neutral stability of the fixed point, and the limit cycle appears from the bifurcation alone, as illustrated in the phase portraits. In other words, the transient processes last for a longer time as they get closer to the bifurcation point. That is, trajectories from different initial conditions for fixed calculation time end up at slightly different amplitude values, as illustrated in Figure 8. Therefore, numerical simulations eventually illustrate that Andronov–Hopf bifurcation, in its supercritical mode, takes place in Equation (4).
Next, we analyzed how the value of the bifurcation parameter ε depends on the electrode area of the memristor. Typical values used in fabrication include the following: 5 × 5, 10 × 10, 15 × 15, 20 × 20, 25 × 25 μm2. Figure 10 illustrates the bifurcation diagram for the smallest device size. It is quite similar to the previous case, but the critical ε is shifted to a higher voltage.
This model does not belong to type I or type II models of a neuron. It does not have a saddle point with a well-defined firing threshold and the corresponding node–saddle bifurcation into the limit cycle, as in type I neuron models. And of course, it does not have a coexisting point attractor and the limit cycle, as in type II neurons.
Finally, we analyzed how the critical bifurcation parameter ε depends on the electrode area for all possible sizes. In the two–parameter bifurcation diagram shown in Figure 11, one can note a nonlinear curve in the ( ε , S ) plane, delineating regions of excitable and oscillatory dynamics.

3.3. Memristive Neural Dynamics Generation

After inspection and regulation, the generator, a memristive device, was connected to the nonlinearity block. This generator demonstrates both the self–oscillating mode and the excitable modes (Figure 12).
The signal shown in Figure 12 (black line) was fed to the storage device which was subsequently switched from HRS to LRS (Figure 3).
The intended switching process is related to the local heating along the current path that activates the processes of migration of oxygen ions and determines the switching threshold (as in the case of a dielectric breakdown) [44]. In the SET process (growth of filaments), oxygen ions migrate to the TiN layer (the reduction of oxide). In the RESET process, they return to oxidizing the filaments [30].
Time series and I–V characteristics obtained numerically and experimentally have differences, however the experiment confirms the resistive switching of our device predicted by the model. Additionally, our system describes the dynamics close to the experimentally observed one, in contrast to the first-order memristor model, taking into account the stochasticity of switching. The submitted work is fundamental to the integration of such devices into a neuron-like FHN generator, both numerically and experimentally.

4. Conclusions

We have investigated a novel mathematical and experimental model of a neuronal oscillator comprising the modified Fitzhugh–Nagumo neural generator [27] with nonlinearity based on the Au/Zr/ZrO2(Y)/TiN/Ti memristor. Bipolar resistive switching cycles demonstrated the stable operation and a resistance ratio of RHRS/RLRS ≈ 104 at a reading voltage of Ur = −0.5 V. The I–V curve of a memristive device was modeled when ±5 V was applied to the device for 2000 ms. This characteristic qualitatively corresponds to the real structure of Au/Zr/ZrO2(Y)/TiN/Ti.
For an electronic circuit with memristive nonlinearity, we obtained a three-dimensional nonlinear ordinary differential equation system. Exploring this system in the numerical simulation we analyzed its two basic dynamical modes of excitable neuron-like dynamics and quasi-harmonic self–oscillations. We found and numerically illustrated the Andronov–Hopf bifurcation as the basic bifurcation scenario of the oscillation appearance. The dependence of the bifurcation parameter points on the memristive device electrode area size were analyzed.
Stochastic switching of the storage device from a state with high resistance to a state with low resistance was achieved due to the signal supplied from the generator to the device. This enables both the self-oscillating and the excitable modes of generation.
As a discussion point, along with many other similar studies in this field, we constructed a memristor-based model of a neuronal oscillator. One of its advantages is the specific nonlinearity determined experimentally for the fabricated Au/Zr/ZrO2(Y)/TiN/Ti memristor. The other is that the original circuit of the modified FitzHugh–Nagumo neuron used in simulations is known to demonstrate computation by spikes with both integrate–and–fire and resonant–and–fire communication modes [39].
Therefore, we believe that, in further investigations, our model of the memristor-based neuronal oscillator will be a potential candidate for use in neuromorphic computing based on spike communication.

Supplementary Materials

The following supporting information can be downloaded at: https://docs.google.com/document/d/13gkCfnJw3fWntlWYCU72HKEcFOdLOZatzcLne8Ojsuo/edit?usp=sharing (accessed on 22 February 2023).

Author Contributions

Conceptualization, I.K. and S.G.; methodology, I.K. and V.K.; software, I.K. and D.G.; validation, S.G. and A.M.; formal analysis, I.K., S.G., A.M., D.P., V.V. and V.K.; investigation, I.K., S.G., A.M., D.P., V.V. and V.K.; resources, A.M. and D.P.; data curation, I.K. and S.G.; writing—original draft preparation, I.K., S.G., A.M. and V.K.; writing—review and editing, I.K., S.G., A.M. and V.K.; visualization, I.K.; supervision, I.K. and V.K.; project administration, I.K. and S.G.; funding acquisition, A.M. and V.K. All authors have read and agreed to the published version of the manuscript.

Funding

The research was carried out with the financial support of the Russian Federation Government (Agreement No. 075-15-2022-1123).

Data Availability Statement

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Acknowledgments

The authors express their great appreciation for the help in conducting experiments provided by Alexey Belov, in providing the topology of memristive devices to Evgeny Gryaznov, and in preparing the manuscript for Dmitry Kolesnikov.

Conflicts of Interest

The authors declare no conflict of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript, or in the decision to publish the results.

References

  1. Chua, L.O. Memristor—The Missing Circuit Element. IEEE Trans. Circuit Theory 1971, 18, 507–519. [Google Scholar] [CrossRef]
  2. Strukov, D.B.; Snider, G.S.; Stewart, D.R.; Williams, R.S. The Missing Memristor Found. Nature 2008, 453, 80–83. [Google Scholar] [CrossRef] [PubMed]
  3. Gorshkov, O.N.; Antonov, I.N.; Belov, A.I.; Kasatkin, A.P.; Mikhaylov, A.N. Resistive Switching in Metal-Insulator-Metal Structures Based on Germanium Oxide and Stabilized Zirconia. Tech. Phys. Lett. 2014, 40, 101–103. [Google Scholar] [CrossRef]
  4. Mikhaylov, A.N.; Belov, A.I.; Korolev, D.S.; Gerasimova, S.A.; Antonov, I.N.; Okulich, E.V.; Shuiskiy, R.A.; Tetelbaum, D.I. Effect of ion irradiation on resistive switching in metal-oxide memristive nanostructures. J. Phys. Conf. Ser. 2019, 1, 1410. [Google Scholar] [CrossRef]
  5. Yang, J.J.; Pickett, M.D.; Li, X. Memristive switching mechanism for metal/oxide/metal nanodevices. Nat. Nanotechnol. 2008, 3, 429–433. [Google Scholar] [CrossRef]
  6. Emelyanov, A.V.; Demin, V.A.; Antropov, I.M. Effect of the thickness of the TiOx/TiO2 layers on their memristor properties. Tech. Phys. 2015, 60, 112–115. [Google Scholar] [CrossRef]
  7. Zidan, M.A.; Strachan, J.P.; Lu, W.D. The Future of Electronics Based on Memristive Systems. Nat. Electron. 2018, 1, 22–29. [Google Scholar] [CrossRef]
  8. Vourkas, I.; Sirakoulis, G.C. Emerging Memristor-Based Logic Circuit Design Approaches: A Review. IEEE Circuits Syst. Mag. 2016, 16, 15–30. [Google Scholar] [CrossRef]
  9. Minati, L.; Gambuzza, L.; Thio, W. A chaotic circuit based on a physical memristor. Chaos Solitons Fractals 2020, 138, 109990. [Google Scholar] [CrossRef]
  10. Huang, H.; Yang, R.; Tang, Z.; He, H.; Zhou, W.; Xiong, J.; Guo, X. Quasi-Hodgkin-Huxley Neurons with Leaky Integrate-and-Fire Functions Physically Realized with Memristive Devices. Adv. Mater. 2019, 31, 1803849. [Google Scholar] [CrossRef] [PubMed]
  11. Pickett, M.D.; Medeiros-Ribeiro, G.; Williams, R.S. A scalable neuristor built with Mott memristors. Nat. Mater. 2012, 12, 114–117. [Google Scholar] [CrossRef]
  12. Ignatov, M.; Ziegler, M.; Hansen, M. A memristive spiking neuron with firing rate coding. Front. Neurosci. 2015, 9, 376. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  13. Emelyanov, A.V.; Demin, V.A.; Nikiruy, K.E.; Rylkov, V.V. Yttria-stabilized zirconia cross-point memristive devices for neuromorphic applications. Microelectron. Eng. 2019, 215, 110988. [Google Scholar] [CrossRef]
  14. Itoh, M.; Chua, L.O. Memristor oscillators. Bifurc. Chaos 2008, 18, 3183–3206. [Google Scholar] [CrossRef]
  15. Mannan, Z.; Choi, H.; Rajamani, V.; Kim, H.; Chua, L. Chua Corsage Memristor: Phase Portraits, Basin of Attraction, and Coexisting Pinched Hysteresis Loops. Bifurc. Chaos 2017, 27, 1730011. [Google Scholar] [CrossRef]
  16. Zhevnenko, D.; Meshchaninov, F.; Kozhevnikov, V.; Shamin, E.; Belov, A.; Gerasimova, S. Simulation of memristor switching time series in response to spike-like signal. Chaos Solitons Fractals 2021, 142, 110382. [Google Scholar] [CrossRef]
  17. Dengwei, Y.; Lidan, W.; Shukai, D.; Jiaojiao, C.; Jiahao, C. Chaotic Attractors Generated by a Memristor-Based Chaotic System and Julia Fractal. Chaos Solitons Fractals 2021, 146, 110773. [Google Scholar] [CrossRef]
  18. Kumar, S.; Strachan, J.; Williams, R. Chaotic dynamics in nanoscale NbO2 Mott memristors for analogue computing. Nature 2017, 548, 318–321. [Google Scholar] [CrossRef]
  19. Danilin, S.N.; Shchanikov, S.A. Perspective element base of specialized computers of modern radar based on memristors. Radio Eng. Telecommun. Syst. 2015, 3, 13–19. [Google Scholar]
  20. Galushkin, A.I.; Danilin, S.N.; Shchanikov, S.A. Their search of memristor based neural network components operation ac-curacy in control and communication systems. In Proceedings of the International Siberian Conference on Control and Communications, Omsk, Russia, 21–23 May 2015; pp. 1–6. [Google Scholar] [CrossRef]
  21. Sung, C.; Hwang, H.; Yoo, I. Perspective: A review on memristive hardware for neuromorphic computation. J. Appl. Phys. 2018, 124, 151903. [Google Scholar] [CrossRef] [Green Version]
  22. Bao, B.; Bao, H.; Hu, A.; Guan, X.; Chen, M.; Wu, H. Three-dimensional memristive Hindmarsh–Rose neuron model with hidden coexisting asymmetric behaviors. Complexity 2018, 2018, 3872573. [Google Scholar] [CrossRef]
  23. Guo, M.; Yang, W.; Xue, Y.; Gao, Z.; Yuan, F.; Dou, G.; Li, Y. Multistability in a physical memristor-based modified Chua’s circuit. Chaos Interdiscip. J. Nonlinear Sci. 2019, 29, 43114. [Google Scholar] [CrossRef]
  24. Magee, J.; Greenberger, C. Synaptic Plasticity Forms and Functions. Neuroscience 2020, 43, 95–117. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  25. Du, N.; Zhao, X.; Chen, Z.; Choubey, B.; Di Ventra, M.; Skorupa, I.; Berger, D.; Schmidt, S. Synaptic Plasticity in Memristive Artificial Synapses and Their Robustness Against Noisy Inputs. Front. Neurosci. 2021, 15, 660894. [Google Scholar] [CrossRef]
  26. Gerasimova, S.; Korolev, D.; Pavlov, D.; Kazantsev, V.; Belov, V.; Mikhaylov, A. Memristive spike-timing-dependent plasticity. In Proceedings of the 2021 Third International Conference Neurotechnologies and Neurointerfaces (CNN), Kaliningrad, Russia, 13–15 September 2021; pp. 19–22. [Google Scholar] [CrossRef]
  27. Binczak, S.; Jacquir, S.; Bilbault, J.; Kazantsev, V. Experimental study of electrical FitzHugh-Nagumo neurons with modified excitability. Neural Netw. 2006, 19, 684–693. [Google Scholar] [CrossRef] [Green Version]
  28. Lin, Y.; Liu, W.; Hang, C. Revelation and experimental verification of quasi-periodic bursting, periodic bursting, periodic oscillation in third-order non-autonomous memristive FitzHugh-Nagumo neuron circuit. Chaos Solitons Fractals 2023, 167, 113006. [Google Scholar] [CrossRef]
  29. Feng, X.; Duan, S.; Wang, L. Memristive FHN spiking neuron model and brain-inspired threshold logic computing. Neurocomputing 2023, 517, 93–105. [Google Scholar] [CrossRef]
  30. Mishchenko, M.; Bolshakov, D.; Lukoyanov, V.; Korolev, D.S.; Belov, A.; Guseinov, D.V.; Matrosov, V.V.; Kazantsev, V.B.; Mikhaylov, A.N. An inverted spike-rate-dependent plasticity due to charge traps in a metal-oxide memristive device. J. Phys. D Appl. Phys. 2022, 55, 394002. [Google Scholar] [CrossRef]
  31. Gerasimova, S.A.; Belov, A.I.; Korolev, D.S.; Guseinov, D.V.; Lebedeva, A.V.; Koryazhkina, M.N.; Mikhaylov, A.N.; Kazantsev, V.B.; Pisarchik, A.N. Stochastic memristive interface for neural signal processing. Sensors 2021, 21, 5587. [Google Scholar] [CrossRef]
  32. Gerasimova, S.A.; Mikhaylov, A.N.; Belov, A.I.; Korolev, D.S.; Guseinov, D.V.; Lebedeva, A.V.; Gorshkov, O.N.; Kazantsev, V.B. Design of memristive interface between electronic neurons. AIP Conf. Proc. 2018, 1, 1959. [Google Scholar]
  33. Gerasimova, S.; Lebedeva, A.; Fedulina, A.; Koryazhkina, M.; Belov, A.; Mishchenko, M.; Matveeva, M.; Guseinov, D.; Mikhaylov, A.; Kazantsev, V.; et al. A neurohybrid memristive system for adaptive stimulation of hippocampus. Chaos Solitons Fractals 2021, 146, 110804. [Google Scholar] [CrossRef]
  34. Binczak, S.; Kazantsev, V.; Nekorkin, V.; Bilbault, J. Experimental study of bifurcations in modified FitzHugh-Nagumo cell. Electron. Lett. 2003, 39, 961–962. [Google Scholar] [CrossRef]
  35. Guseinov, D.V.; Tetelbaum, D.I.; Mikhaylov, A.N.; Belov, A.I.; Shenina, M.E.; Korolev, D.S.; Antonov, I.N.; Kasatkin, A.P.; Gorshkov, O.N.; Okulich, E.V.; et al. Filamentary model of bipolar resistive switching in capacitor-like memristive nanostructures on the basis of yttria-stabilised zirconia. Int. J. Nanotechnol. 2017, 14, 604–617. [Google Scholar] [CrossRef]
  36. Mikhaylov, A.N.; Gryaznov, E.G.; Belov, A.I.; Korolev, D.S.; Sharapov, A.N.; Guseinov, D.V.; Tetelbaum, D.I.; Tikhov, S.V.; Malekhonova, N.V.; Bobrov, A.I.; et al. Field- and irradiation-induced phenomena in memristive nanomaterials. Phys. Status Solidi. 2016, 13, 870–881. [Google Scholar] [CrossRef] [Green Version]
  37. Chua, L.O.; Kang, S.M. Memristive Devices and Systems. Proc. IEEE 1976, 64, 209–223. [Google Scholar] [CrossRef]
  38. Joglekar, Y.N.; Wolf, S.J. The elusive memristor: Properties of basic electrical circuits. Eur. J. Phys. 2009, 30, 661–675. [Google Scholar] [CrossRef] [Green Version]
  39. Kazantsev, V.B. Selective communication and information processing by excitable systems. Phys. Rev. E 2001, 64, 056210. [Google Scholar] [CrossRef]
  40. Atkinson, K.; Han, W.; Stewart, D. Numerical Solution of Ordinary Differential Equations; John Wiley & Sons Inc.: New York, NY, USA, 2009. [Google Scholar] [CrossRef]
  41. Kasdin, N.J. Runge-Kutta algorithm for the numerical integration of stochastic differential equations. J. Guid. Control Dyn. 1995, 18, 114–120. [Google Scholar] [CrossRef]
  42. Kasdin, N.J. Discrete simulation of colored noise and stochastic processes and 1/fα power law noise generation. Proc. IEEE 1995, 83, 802–827. [Google Scholar] [CrossRef]
  43. Higham, D.J. An algorithmic introduction to numerical simulation of stochastic differential equations. SIAM Rev. 2001, 43, 525–546. [Google Scholar] [CrossRef]
  44. Ielmini, D.; Waser, R. Resistive Switching: From Fundamentals of Nano Ionic Redox Processes to Memristive Device Applications; John Wiley & Sons: Weinheim, Germany, 2016. [Google Scholar] [CrossRef]
Figure 1. Diagram of the nonlinear circuit based on memristor. The circuit was modified from [27] by replacing the nonlinear element with the Au/Zr/ZrO2(Y)/TiN/Ti memristor. Modified with permission from Kazantsev V.B., neural networks; published by 2006 years.
Figure 1. Diagram of the nonlinear circuit based on memristor. The circuit was modified from [27] by replacing the nonlinear element with the Au/Zr/ZrO2(Y)/TiN/Ti memristor. Modified with permission from Kazantsev V.B., neural networks; published by 2006 years.
Mathematics 11 01268 g001
Figure 2. The cross-sectional TEM image of the memristive device structure after electroforming. The thickness of the ZrO2(Y) layer was 40 nm, the thickness of the top Au electrode was 40 nm, and the thickness of the bottom TiN/Ti electrode was 40 nm.
Figure 2. The cross-sectional TEM image of the memristive device structure after electroforming. The thickness of the ZrO2(Y) layer was 40 nm, the thickness of the top Au electrode was 40 nm, and the thickness of the bottom TiN/Ti electrode was 40 nm.
Mathematics 11 01268 g002
Figure 3. Experimental I–V characteristics of the Au/Zr/ZrO2(Y)/TiN/Ti memristive devices. The arrows indicate the direction of the voltage sweep.
Figure 3. Experimental I–V characteristics of the Au/Zr/ZrO2(Y)/TiN/Ti memristive devices. The arrows indicate the direction of the voltage sweep.
Mathematics 11 01268 g003
Figure 4. I–V characteristics of the memristive device obtained as a result of numerical simulation.
Figure 4. I–V characteristics of the memristive device obtained as a result of numerical simulation.
Mathematics 11 01268 g004
Figure 5. (a) Block diagram of a neuron–like memristive generator FHN; (b) device for determining I–V characteristics and memristive chip; (c) analog electric circuit of the FHN neuron containing memristive device. The nonlinearity is set using a memristive device. The capacitor C1 is responsible for the membrane of the neuron, and the power source V1 is associated with a reversible potential (an equilibrium potential).
Figure 5. (a) Block diagram of a neuron–like memristive generator FHN; (b) device for determining I–V characteristics and memristive chip; (c) analog electric circuit of the FHN neuron containing memristive device. The nonlinearity is set using a memristive device. The capacitor C1 is responsible for the membrane of the neuron, and the power source V1 is associated with a reversible potential (an equilibrium potential).
Mathematics 11 01268 g005
Figure 6. Response of the memristive FHN model on sufficiently strong perturbation current added to the first equation of system (4). The lower panel shows the shape of the current pulse, amplitude—4.42 B, duration—11 ms. Parameter values: η = 0.48 ,   ε = 0.94 .
Figure 6. Response of the memristive FHN model on sufficiently strong perturbation current added to the first equation of system (4). The lower panel shows the shape of the current pulse, amplitude—4.42 B, duration—11 ms. Parameter values: η = 0.48 ,   ε = 0.94 .
Mathematics 11 01268 g006
Figure 7. Results of numerical simulation of damped oscillations: (A) time series u and v, (B) phase portraits η = 0.48 ,   ε = 0.24 . Detailed information about the receipt of these figures is contained in Supplementary Materials.
Figure 7. Results of numerical simulation of damped oscillations: (A) time series u and v, (B) phase portraits η = 0.48 ,   ε = 0.24 . Detailed information about the receipt of these figures is contained in Supplementary Materials.
Mathematics 11 01268 g007aMathematics 11 01268 g007b
Figure 8. Results of numerical simulation of the system in the periodic generation mode: (A) time series u, (B) time series v, (C) phase portraits, η = 0.48 ,   ε = 0.000024 . Detailed information about the receipt of these figures is contained in Supplementary Materials.
Figure 8. Results of numerical simulation of the system in the periodic generation mode: (A) time series u, (B) time series v, (C) phase portraits, η = 0.48 ,   ε = 0.000024 . Detailed information about the receipt of these figures is contained in Supplementary Materials.
Mathematics 11 01268 g008
Figure 9. One–parameter bifurcation diagram for an area of 50 × 50 μm2. The arrow shows the increased dependency interval. The blue, orange and yellow dependences are determined by y1, y2, and y3 initial conditions, respectively.
Figure 9. One–parameter bifurcation diagram for an area of 50 × 50 μm2. The arrow shows the increased dependency interval. The blue, orange and yellow dependences are determined by y1, y2, and y3 initial conditions, respectively.
Mathematics 11 01268 g009
Figure 10. One–parameter bifurcation diagram with a device area of 5 × 5 μm2. The grey, orange and green dependencies are determined by y1, y2, and y3 initial conditions, respectively.
Figure 10. One–parameter bifurcation diagram with a device area of 5 × 5 μm2. The grey, orange and green dependencies are determined by y1, y2, and y3 initial conditions, respectively.
Mathematics 11 01268 g010
Figure 11. Two–parameter bifurcation diagram.
Figure 11. Two–parameter bifurcation diagram.
Mathematics 11 01268 g011
Figure 12. Oscillator self–oscillating mode (black line) and excitable modes (red line).
Figure 12. Oscillator self–oscillating mode (black line) and excitable modes (red line).
Mathematics 11 01268 g012
Table 1. The parameters obtained in the study of damped oscillations, where Δ is the decay decrement, λ is the logarithmic decay decrement, T is the period (second), and V is the frequency (Hz). Also, in the course of the study, the values of Q–factor, cyclic ω 0 , and natural ( second 1 ) frequency were obtained.
Table 1. The parameters obtained in the study of damped oscillations, where Δ is the decay decrement, λ is the logarithmic decay decrement, T is the period (second), and V is the frequency (Hz). Also, in the course of the study, the values of Q–factor, cyclic ω 0 , and natural ( second 1 ) frequency were obtained.
Parameteruv
Δ 2.112.87
λ0.741.06
T0.01570.0159
V63.6162.89
Q4.242.97
β4766
ω 0 399395
ω 396389
Table 2. The parameters obtained during the study of periodic generation, where A is the voltage amplitude (B), T is the oscillation period (second), V is the frequency (Hz), and ω is the natural frequency ( second 1 ) .
Table 2. The parameters obtained during the study of periodic generation, where A is the voltage amplitude (B), T is the oscillation period (second), V is the frequency (Hz), and ω is the natural frequency ( second 1 ) .
Parameteruv
A3.970.017
T1.7531.725
V0.570.58
ω 3.583.64
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Kipelkin, I.; Gerasimova, S.; Guseinov, D.; Pavlov, D.; Vorontsov, V.; Mikhaylov, A.; Kazantsev, V. Mathematical and Experimental Model of Neuronal Oscillator Based on Memristor-Based Nonlinearity. Mathematics 2023, 11, 1268. https://doi.org/10.3390/math11051268

AMA Style

Kipelkin I, Gerasimova S, Guseinov D, Pavlov D, Vorontsov V, Mikhaylov A, Kazantsev V. Mathematical and Experimental Model of Neuronal Oscillator Based on Memristor-Based Nonlinearity. Mathematics. 2023; 11(5):1268. https://doi.org/10.3390/math11051268

Chicago/Turabian Style

Kipelkin, Ivan, Svetlana Gerasimova, Davud Guseinov, Dmitry Pavlov, Vladislav Vorontsov, Alexey Mikhaylov, and Victor Kazantsev. 2023. "Mathematical and Experimental Model of Neuronal Oscillator Based on Memristor-Based Nonlinearity" Mathematics 11, no. 5: 1268. https://doi.org/10.3390/math11051268

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop