Next Article in Journal
Automated Driving: A Literature Review of the Take over Request in Conditional Automation
Next Article in Special Issue
Hot Carrier Stress Sensing Bulk Current for 28 nm Stacked High-k nMOSFETs
Previous Article in Journal
Bidirectional Temporal-Recurrent Propagation Networks for Video Super-Resolution
Previous Article in Special Issue
Automated and Intelligent System for Monitoring Swimming Pool Safety Based on the IoT and Transfer Learning
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Q-Factor Performance of 28 nm-Node High-K Gate Dielectric under DPN Treatment at Different Annealing Temperatures

1
Department of Electronic Engineering, Minghsin University of Science and Technology, Hsinchu 30401, Taiwan
2
Department of Materials and Resources Engineering, National Taipei University of Technology, Taipei 10608, Taiwan
3
Department of Electro-Optical Engineering, Minghsin University of Science and Technology, Hsinchu 30401, Taiwan
4
Department of Electrical Engineering, National University of Kaohsiung, Kaohsiung 81148, Taiwan
*
Authors to whom correspondence should be addressed.
Electronics 2020, 9(12), 2086; https://doi.org/10.3390/electronics9122086
Submission received: 31 October 2020 / Revised: 30 November 2020 / Accepted: 3 December 2020 / Published: 7 December 2020
(This article belongs to the Special Issue Application of Electronic Devices on Intelligent System)

Abstract

:
Q-factor is a reasonable index to investigate the integrity of circuits or devices in terms of their energy or charge storage capabilities. We use this figure of merit to explore the deposition quality of nano-node high-k gate dielectrics by decoupled-plasma nitridation at different temperatures with a fixed nitrogen concentration. This is very important in radio-frequency applications. From the point of view of the Q-factor, the device treated at a higher annealing temperature clearly demonstrates a better Q-factor value. Another interesting observation is the appearance of two troughs in the Q-VGS characteristics, which are strongly related to either the series parasitic capacitance, the tunneling effect, or both.

1. Introduction

When considering the electrical performance of high-performance computing integrated circuits (ICs) [1] beneficial to artificial intelligence systems [2], 5G communication systems [3], smart devices [4], driverless systems [5], and cloud computing [6], the operating speed in the ON state [7], power consumption [8], RC delay [9], and leakage related to the standby current [10] in the OFF state are the chief factors of these advanced ICs. As semiconductor process manufacturing enters the nano-node era, strain technology with contact-etch-stop-layer process [11]; stress memorization technology [12]; source/drain (S/D) refilling [13]; high-k (HK) dielectrics [14]; gate-last process with low-resistance metal in the frontend of the line [15]; and low-k dielectrics [16] and copper process [17] in the backend of line are being developed. In addition, advanced processes are required to improve the performance and reduce the power consumption of ICs. From this point of view, photolithography technology, including 193-nm immersion lithography [18], multiple patterning technology [19], extreme ultraviolet lithography with 13.5-nm wavelength [20], and photoresists, [21] plays an important role. In addition to the bulk Si-base substrate, using a silicon-on-insulator (SOI) substrate can improve the electrical performance; however, the reduction in reliability [22] due to self-heating and the increase in total cost must be considered. The signal noise on the SOI chip [23,24] can also degrade signal integrity, which should be considered as well. If the substantial structure of field-effect transistors (FETs) can be replaced by the traditionally planar metal-oxide-semiconductor FET (MOSFET) to the 3-D form, such as FinFETs [25,26,27], gate-all-around FETs [28], or multi-bridge-channel FET [29], the generation of process technology in manufacturing consideration can be moved to a 3-nm or 2-nm process era or below.
In addition to the evolution of process investigation, the high-k gate dielectric in FET is like the heart of human beings. Adopting the high-k gate dielectric increased the benefits of drive current due to the increment of the k-value contributing to the gate capacitance and decreased the gate leakage owing to the thicker gate thickness compared with that using the conventional gate silicon dioxide (SiO2) or oxy-nitride (SiONx) with thermal growth. For the integrity of the high-k gate dielectric, the atomic-layer deposition method [30] needs to be controlled well. Traps in the gate layer can be effectively repaired by a suitable nitridation process treatment after high-k deposition with decoupled-plasma nitridation (DPN) [31] or post-deposition annealing [32]. However, regardless of the treatment parameters, better results are expected in the drive current or gate leakage. As a result, the k-value of the gate dielectric, HfOx/ZrOy/HfOz (HZH), can be increased up to the ideal expectation, 25. For the real achievement, the k-value is 23.8, closed to the ideal value and better than that of SiO2, 3.9, or SiONx, 4.8. Relatively, the drawbacks with the high-k technology are the increase in chip cost in purchasing the novel machines and the change of the process recipe, and the yield and reliability of the ICs. With the sub-threshold swing (SS) [33] to exhibit the slow trap as signal operation in the direct-current (DC) mode is a good method. Nevertheless, a fast trap in alternating-current (AC) mode is not shown. The quality factor (Q-factor) [34,35,36], which is one of the critical factors in radio-frequency (RF) systems [37], is used as a feasible and smart method to probe the influence of fast traps in high-k gate dielectrics to not only demonstrate the integrity of the AC signal but also optimize DPN treatment.

2. Measurement Setup for Gate Capacitance

The measurement system for conducting the capacitance–voltage (C–V) measurement is shown in Figure 1 and Figure 2. Appropriate test devices are also chosen, as shown in Figure 3, according to the accuracy limitation of the measurement equipment. The test pattern had finger type S/D terminals, and its dimensions were 5 × 40 (μm2) × 5 (fingers) × 6 (blocks) = 6000 μm2. The test devices can be checked to determine whether they are functioning normally by doing a current–voltage (I–V) measurement. The semiconductor device parameter analyzer used for the electrical verification of I–V extraction was the Agilent 4156C. For the C–V measurement we use the Agilent 4284A (Santa Clara, CA, USA), which provides frequency response from 20 Hz to 1 MHz. For convenient data extraction, an Agilent E1465A relay matrix switch module is connected to the computer via a general-purpose interface bus (GPIB) card. The flow chart of the elementary measurement setup is shown in Figure 1.
In Table 1, the concise process parameters for the gate dielectric are presented. The thickness of the interfacial layer (IL) on the surface channel deposited with a rapid thermal oxidation process is approximately 9–12 Å. The thickness of the high-k gate dielectric with a sandwich stack (HfOx/ZrOy/HfOz) is approximately 24 Å. After depositing these layers, the nitridation treatment is done at an appropriate nitrogen concentration and annealing temperature to repair the traps in the gate dielectric and increase the k-value to 23.8. Then, a barrier metal layer TiN was applied for work function adjustment. Then other related processes, including the S/D extension implantation, spacer formation as an isolator, S/D implantation, pre-metal dielectric deposition, and S/D or gate contact formation were done and controlled well to the first metal layer using a copper process. Finally, the passivation and pad window processes were completed, and the required test wafers were delivered. The detailed process can be referred to in Wang et al.’s study [38]. For testing the electrical performance and film integrity, some specified test patterns are precisely designed on the optical masks. The electrical performance of the n-channel MOSFET (nMOSFET) (channel width W = 10 μm and channel length L = 1 μm) must be checked first to confirm the process controllability and stability, which will influence the product yield. If the electrical performance of the test devices does not fit the design specifications, the following experiments will be immediately stopped and checked. Among the device parameters, the drive current (IDS or ION), which is the ON-state current and the OFF-state current (IOFF) representing leakage sources are two chief indices. The performances of both are strongly correlated to the gate dielectric integrity which acts as a gate capacitor.
Considering the total gate capacitance in measurement, it can be treated as the case of queued oxide and semiconductor space charge capacitor, as shown in Figure 4 [39]. The total capacitance (CT) is calculated from icap/vac= ωCT, where ω is 2πf and f frequency. The CT in the MOS consideration is the small-signal capacitance, as shown in Equation (1).
C T d Q G d V G = d Q s u b d V G
where QG is the total gate charge and Qsub (C/cm2) is the total substrate charge, which is the sum of charges at the accumulation region, depletion region, and inversion regions, represented as Qacc, Qdep, and Qinv.
As the gate voltage (VG > threshold voltage Vth) is applied at the inversion mode, the CT can be expressed as
C T = 1 C o x + 1 C s p + 1 C i n v 1
where Cox is the capacitance of gate dielectric per area, Csp is the capacitance from a pseudo-gate-depletion effect, and Cinv is the capacitance contributed from the non-uniformity of the inversion-layer electrons.
The CT at the different operations of gate voltage can be demonstrated by an equivalent circuit, as shown in Figure 5, including the Cdep is the capacitance in the depletion region of the channel.
For C–V measurement, there are two ideal RC modes: series and parallel types, as shown in Figure 6. The series and parallel impedance Zs and Zp can be given as real part (A) and imaginary part (B):
Z s = A + j B = R s + 1 S C s = R s j 1 ω C s
Z p = 1 1 R p + S C p = R p R p S C p + 1 = 1 G p
where S = jω, j = 1 , Rs denotes the series resistance, Cs denotes the series capacitance, Rp denotes the parallel resistance, Cp denotes the parallel capacitance, and Gp denotes the admittance or transconductance.
If the impedance values of the two modes in measurement are the same, Equations (3) and (4) are equivalent. We summarize the real and the imaginary parts in these two equations as follows [37].
R p C p = 1 R s C s ω 2
R p C p + R s C s R p C s = 0
Assuming Rp >> Rs, we have CpCsC and
R p 1 ( R s C ω ) 2
The Q-factor can be defined as the (imaginary part)/(real part) under the impedance calculation in one cycle, considering only the absolute value. The Q-factors in series and in parallel are 1/(RsCs ω) and (RpCp ω) for pure RC systems, respectively. This factor is also a useful indicator of the charge storage in a circuit or the energy stored or dissipated in one cycle for a passive resonator with resistor (R), inductor (L), and capacitor (C) [35]. After calculation, the bandwidth of an RLC resonator at the central resonant frequency ωo is equal to 1/Q. Thus, probing the Q-factor is important in evaluating performance in RF communication.
According to Figure 5, one observes the electrical equivalent circuit of a MOS capacitor, which means the series combination of a fixed, voltage-independent gate oxide capacitance, and a voltage-dependent pseudo gate depletion capacitance and a semiconductor space charge capacitance. Hence, using a series mode is a better choice in measurement. In the experiment, the amplitude of the AC signal was 30 mV and the gate voltage was swept from −1.1 Vcc to 1.1 Vcc, where Vcc was 0.8 V.

3. Results

In this section, the measurement results, including I–V characteristics, C–V performance, and Q-factor distribution, for the test devices will be presented.

3.1. I–V Characteristics of N-Channel MOSFETs

Before the CV measurement, the IV measurements are performed. The output characteristics of the tested nMOSFETs with W/L = 10/1 (μm/μm) on two groups of wafers are obtained by measuring the drain-to-source voltage (VDS) keeping gate-to-source voltage (VGS) constant at VGS = Vcc = 0.8 V, as shown in Figure 7. Meanwhile, the IDSVGS curves for the two tested devices were obtained with VDS fixed at 50 mV to demonstrate the sweeping capability of these devices, and the transconductance Gm, represented as I D S V G S , is derived, as shown in Figure 8a,b, respectively. Furthermore, the electrical characteristics of gate leakage for three tested patterns with device type (W/L = 10 μm/10 μm), (10 μm × 100 μm) of bulk type, and finger-type MOSFET structure are scanned with the compliance current fixed, as shown in Figure 9. The source, drain, and substrate terminals for each tested device were grounded together in the measurement, while the VGS was swept from −1 V to 1 V in 25 mV steps. After checking the test results and considering the initial device design, the extracted performance seems normal in the specified tolerance.
The measured parameters such as threshold voltage (Vth) and sub-threshold swing (SS in units of mV/decade) are illustrated in Table 2.

3.2. C–V Characteristics for Three Tested Devices

In the CV measurement, considering the accuracy and stability of the measurement equipment, the finger-type pattern with an area of 6000 μm2 was chosen in this sub-section for all the test devices. Based on the IGVG performance shown in Figure 9, the tested devices were acceptable and the CV measurement could be applied. The C–V curves with low and high frequencies are shown in Figure 10. The thickness of the equivalent oxide of the entire gate dielectric through the extraction of C–V characteristics (CVC) software is approximately 22.4 Å, which is close to the expected value in the process specification.

3.3. Q-Factor Performance in Frequency Response or Gate-Voltage Sweeping

The Q-factor, as an index of charge or energy storage capability of a device or circuit, is useful to probe the device integrity in frequency response without considering just the DC term. Figure 11 shows the Q-factor performance for the finger-type DPN-A device. In Figure 11a, the frequency is swept from 20 kHz to 100 kHz as VGS scanned from −1 V to 1 V with 25 mV/step. The AC amplitude is 20 mV. To avoid the jumping phenomenon at low frequencies, the measured frequency is tuned onto 100 kHz to 1 MHz, as shown in Figure 11b. Because the value of the Q-factor at 100 kHz is larger than that in the others, the Q-factor performance under higher frequency response is re-drawn to reduce the suppression of visibility, as shown in Figure 11c. For that with the DPN-B device, the trend of the Q-factor distribution is similar to that in the DPN-A device. Hence, the comparison for both devices is shown in Figure 12 only in the frequency range of 100 kHz to 1 MHz. There are two prominent troughs, called Q-trough I (QT-I) and Q-trough II (QT-II), as shown in Figure 11c and Figure 12.

4. Discussion

For both tested wafers treated with the nitridation process, the k-value could be brought close to 23 to 24, which indicates that this process recipe is indeed beneficial to the ON-state current. In Figure 7, the difference in ION for both treated devices at 25 °C is less than 2%, but the degradation difference after thermal stress is increased by up to 3% at VDS = Vcc and (VGS-Vth) = Vcc. The ION for both tested devices (W/L = 10 μm/1 μm) decreases as temperature increases, which is consistent with physical laws, and is due to the increase in carrier scattering, which influences the channel mobility μn. As the increment in annealing temperature provides more thermal energy, the free radical of nitrogen has a higher probability of arriving at the high-k layers or even at the channel surface through the interfacial layer. This speculation can be confirmed from Table 2. The Vth-value for the DPN-B device is less than that for the DPN-A device, but the SS-value for the DPN-B device is larger than that for the DPN-A device. As the free radical of nitrogen with the higher annealing temperature penetrates the high-k layers up to the channel surface, there are two effects: the repair performance for the traps in the high-k layer, and the surface trap increased due to the formation of silicon nitridation. For a long channel nMOSFET, the linear drain-to-source current IDS_lin, saturation IDS (ION), or transconductance Gm can be given as [39]:
I D S _ l i n = W L μ n C o x V G S V t h V D S 2 V D S
I O N = W 2 L μ n C o x V G S V t h 2
G m = I D S _ l i n V G S = W L μ n C o x V G S V t h
In Equation (10), the VDS is fixed at 50 mV, which is less than (VGS-Vth); thus, this equation is simplified. As shown in Figure 8, the values of ION at VDS =Vcc and maximum Gm (Gm_max) for both tested devices with W/L = 10 μm/1 μm are close, which means that the difference is slight due to the mutual compensation of μn and Cox. In Figure 9, if we consider the contributions of perimeter leakage for the finger type and area leakage for the bulk type device, we can re-compose the gate leakage in the calculation, as shown in Figure 13. Because the devices are connected to an n+/p protection diode to prevent damage from the plasma process, the difference between the measured and calculation leakage at the negative VGS bias is increased, but the match at the positive VGS is good. Furthermore, following Table 2, we found the absolute value of gate leakage for DPN-A at VGS = −Vcc was larger than that for DPN-B and, reversely, at VGS = −Vcc, as shown in Table 3. These trends for Table 2 and Table 3 are consistent. The Dit for DPN-B is slightly greater than that for DPN-A, causing the larger gate leakage for DPN-B at VGS = Vcc. However, the trapped amount in gate dielectric for DPN-B is less than that for DPN-A, hence, the gate leakage for DPN-B at VGS = −Vcc is also less than that for DPN-A, no matter what the tested pattern is.
In Figure 10, the gate capacitance in CV characteristics gradually decreases from VGS = −1 V to Vth. In Figure 10a, the gate capacitance for the DPN-A finger-type device at 1 MHz is slightly higher than that at 1 kHz, but this effect is reversed for the DPN-B device in the accumulation mode, as seen in Figure 10b. The possible cause for this is that although the higher annealing temperature provides trap repair, especially for slow traps, the stability of fixed bonds in the gate dielectric is not enough. Thus, under thermal stress, electrical performance degrades, as shown in Figure 7. As observed in Figure 11 and Figure 12, the Q-factor value in the low-frequency response is higher than that in the high-frequency response. This phenomenon is more distinct as the frequency is increased up to 1 MHz owing to the series combination of various capacitance, indicating the decrement of Q-value as the increment of the operating frequency. However, below 20 kHz, the damping effect of the Q-factor is also high, possibly due to the slow trapping effect in gate dielectric or on the channel surface or the slight contribution of tunnel current. From the point of view of the Q-factor, the DPN-B group is better than the DPN-A group, but they all demonstrate the two troughs (QT-I and QT-II) located around VGS = −0.65 V and −0.24 V, respectively. The gate capacitance in the accumulation mode shown in Figure 10 gradually declines. This effect is similar to the gate depletion as VGS is swept from −1 V to 1 V. This contribution perhaps came from the mismatch of the work function of barrier metal TiN with Al gate, treated as a pseudo-gate-depletion effect, as shown in Figure 14. If there exists a series parasitic capacitance, the whole CT is diminished and the Q-factor will decrease depending on the amount of series capacitance. As the VGS escapes the QT-I trough, the VGS will meet the flat-band voltage and the surface channel will gradually enter the depletion region. In this situation, the other series parasitic capacitance will come into effect; therefore, the second trough (QT-II) is formed. The other hypothesis is that this happens due to the tunneling effect [40] in the high-k gate dielectric. Although the thickness of the high-k gate dielectric is higher than that of a traditional silicon di-oxide gate, it is still less than 50 Å. Tunneling gate leakage still exists; thus, the whole gate capacitance in the measurement, as shown in Equation (1), is not constant under the higher gate field or in the frequency response.

5. Conclusions

We use the Q-factor to probe the performance of nitridation treatment on the high-k gate dielectric for the first time in a study. With the Q-factor view, the device under the higher annealing temperature with constant nitrogen concentration is better, which indicates that its charge or energy storage capability is higher. Furthermore, Q–VGS characteristics are impressive. Two troughs are exhibited regardless of whether the device is treated with DPN-A or DPN-B. It is hypothesized that the first trough is related to either the tunneling effect or gate depletion series parasitic capacitance coming from the mismatch of the work function of the barrier layer TiN with Al gate. However, the second trough is related to channel depletion which provides a series of parasitic capacitance. In the future, thermal stress along with Q-factor measurement and analysis can provide more robust data for process improvement.

Author Contributions

Conceptualization, C.-W.C.; methodology, S.-J.W. and C.-W.C.; formal analysis, W.-C.H. and S.-J.W.; data curation, J.-M.C. and W.-C.H.; writing—original draft preparation, M.-C.W.; writing—review and editing, M.-C.W. and W.-H.L.; supervision, T.J. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Acknowledgments

The authors sincerely appreciate United Microelectronics Corporation (UMC) in Taiwan for providing 12” 28-nm high-k wafers, and the part of financial support from Ministry of Science and Technology of Republic of China under Contract Nos. MOST 109-2622-E-159-001.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Zheng, X.; Levine, D.; Shen, J.; Gogarten, S.M.; Laurie, C.; Weir, B.S. A high-performance computing toolset for relatedness and principal component analysis of SNP data. Bioinformatics 2012, 28, 3326–3328. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  2. Russell, S.; Norvig, P. Artificial Intelligence: A Modern Approach, 4th ed.; Prentice Hall: New Jersey, NJ, USA, 2020; pp. 1–100. [Google Scholar]
  3. Noohani, M.Z.; Magsi, K.U. A review of 5G technology: Architecture, security and wide applications. Int. Res. J. Eng. Tech. 2020, 7, 1–32. [Google Scholar]
  4. Zheng, P.; Ni, L.M. Smart Phone and Next Generation Mobile Computing, 1st ed.; Elsevier: London, UK, 2006; pp. 1–97. [Google Scholar]
  5. Nordhoff, S.; Winter, J.D.; Kyriakidis, M.; Arem, B.V.; Happee, R. Acceptance of driverless vehicles: Results from a large cross-national questionnaire study. J. Adv. Transp. 2018, 2018, 1–22. [Google Scholar] [CrossRef] [Green Version]
  6. Patidar, S.; Rane, D.; Jain, P. A survey paper on cloud computing. In Proceedings of the IEEE 2nd International Conference on Advanced Computing & Communication Technologies, Rohtak, Haryana, India, 7–8 January 2012. [Google Scholar] [CrossRef]
  7. Hajare, R.; Lakshminarayana, C.; Sumanth, S.C.; Anish, A.R. Design and evaluation of FinFET based digital circuits for high speed ICs. In Proceedings of the IEEE International Conference on Emerging Research in Electronics, Computer Science and Technology (ICERECT), Mandya, India, 17–19 December 2015. [Google Scholar] [CrossRef]
  8. Kim, S.E.; Kang, T.; Oh, K.I.; Park, M.J.; Park, H.I.; Lim, I.G.; Lee, J.J. Energy management integrated circuit for multi-source energy harvesters in WBAN applications. Appl. Sci. 2018, 8, 1262–1279. [Google Scholar] [CrossRef] [Green Version]
  9. Kar, R.; Ramakrishna, K.; Mal, A.K.; Bhattacharjee, A.K. A novel and efficient approach for RC Delay evaluation of on-chip VLSI interconnect under current mode signaling technique. Int. J. Comp. Appl. 2010, 1, 64–67. [Google Scholar] [CrossRef]
  10. Fallah, F.; Pedram, M. Standby and active leakage current control and minimization in CMOS VLSI circuits. IEICE Trans. Electron 2005, E88–C, 509–519. [Google Scholar] [CrossRef]
  11. Eneman, G.; Verheyen, P.; Keersgieter, A.D.; Jurczak, M.; Meyer, K.D. Scalability of stress induced by contact-etch-stop layers: A simulation study. IEEE Trans. Electron Dev. 2007, 54, 1446–1453; [Google Scholar] [CrossRef]
  12. Lim, K.-Y.; Lee, H.; Ryu, C.; Seo, K.-I.; Kwon, U.; Kim, S.; Choi, J.; Oh, K.; Jeon, H.-K.; Song, C.; et al. Novel stress-memorization-technology (SMT) for high electron mobility enhancement of gate last high-k/metal gate devices. In Proceedings of the IEEE International Electron Devices Meeting, San Francisco, CA, USA, 6–8 December 2010. [Google Scholar] [CrossRef]
  13. Yang, H.-C.; Li, C.-W.; Liao, W.-S.; Du, C.-K.; Wang, M.-C.; Yang, J.-M.; Lian, C.-W.; Liu, C.-H. The enhancement of MOSFET electric performance through strain engineering by refilled SiGe as Source and Drain. In Proceedings of the IEEE 5th International Nanoelectronics Conference (INEC), Singapore, 2–4 January 2013. [Google Scholar] [CrossRef]
  14. Silaghi, M.A. Dielectric Material; InTechOpen: London, UK, 2012. [Google Scholar]
  15. Gu, J.J.; Wu, Y.Q.; Ye, P.D. Effects of gate-last and gate-first process on deep submicron inversion-mode InGaAs n-channel metal-oxide-semiconductor field effect transistors. J. Appl. Phys. 2011, 109, 053709. [Google Scholar] [CrossRef] [Green Version]
  16. Baklanov, M.R.; Mogilnikov, K.P. Non-destructive characterization of porous low-k dielectric films. Microelectron. Eng. 2002, 64, 335–349. [Google Scholar] [CrossRef]
  17. Pisani, M.B.; Hibert, C.; Bouvet, D.; Beaud, P.; Ionescu, A.M. Copper/polyimide fabrication process for above-IC integration of high quality factor inductors. Microelectron. Eng. 2004, 73–74, 474–479. [Google Scholar] [CrossRef]
  18. Smith, B.W.; Fan, Y.; Slocum, M.; Zavyalova, L. 25 nm immersion lithography at 193 nm wavelength. In Proceedings of the SPIE Optical Microlithography XVIII, San Jose, CA, USA, 12 May 2005; Volume 5754. [Google Scholar] [CrossRef] [Green Version]
  19. Cho, M.; Ban, Y.; Pan, D.Z. Double patterning technology friendly detailed routing. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, 10–13 November 2008. [Google Scholar] [CrossRef]
  20. Stulen, R.H. 13 nm extreme ultraviolet lithography. IEEE J. Quantum Electron. 1995, 1, 970–975. [Google Scholar] [CrossRef]
  21. Park, J.; Lee, S.G.; Vesters, Y.; Severi, J.; Kim, M.; De Simone, D.; Oh, H.-K.; Hur, S.-M. Molecular modeling of EUV photoresist revealing the effect of chain conformation on line-edge roughness formation. Polymers (Basel) 2019, 11, 1923. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  22. Zhao, E.; Zhang, J.; Salman, A.; Subba, N.; Chan, J.; Marathe, A.; Beebe, S.; Taylor, K. Reliability challenges of high performance PD SOI CMOS with ultra-thin gate dielectrics. Solid State Electron. 2004, 48, 1703–1708. [Google Scholar] [CrossRef]
  23. Crupi, G.; Caddemi, A.; Schreurs, D.M.M.-P.; Wiatr, W.; Mercha, A. Microwave noise modeling of FinFETs. Solid State Electron. 2011, 56, 18–22. [Google Scholar] [CrossRef]
  24. Raskin, J.P.; Pailloncy, G.; Lederer, D.; Danneville, F.; Dambrine, G.; Decoutere, S.; Mercha, A.; Parvais, B. High-frequency noise performance of 60 nm gate-length FinFETs. IEEE Trans. Electron Dev. 2008, 55, 2718–2727. [Google Scholar] [CrossRef]
  25. Crupi, G.; Schreurs, D.M.M.-P.; Caddemi, A.; Angelov, I.; Homayouni, M.; Raffo, A.; Vannini, G.; Parvais, B. Purely analytical extraction of an improved nonlinear FinFET model including non-quasi-static effects. Microelectron. Eng. 2009, 86, 2283–2289. [Google Scholar] [CrossRef]
  26. Lederer, D.; Kilchytska, V.; Rudenko, T.; Collaert, N.; Flandre, D.; Dixit, A.; De Meyer, K.; Raskin, J.-P. FinFET analogue characterization from DC to 110 GHz. Solid State Electron. 2005, 49, 1488–1496. [Google Scholar] [CrossRef]
  27. Zhang, M.; Guo, Y.; Chen, J.; Zhang, J.; Tong, Y. Simulation investigation of the diffusion enhancement effects in FinFET with graded fin width. IEEE Trans. Nanotech. 2019, 18, 700–703. [Google Scholar] [CrossRef]
  28. Barraud, S.; Lapras, V.; Previtali, B.; Samson, M.P.; Lacord, J.; Martinie, S.; Jaud, M.-A.; Athanasiou, S.; Triozon, F.; Rozeau, O.; et al. Performance and design considerations for gate-all-around stacked-nanowires FETs. In Proceedings of the IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017. [Google Scholar] [CrossRef] [Green Version]
  29. Lee, S.Y.; Kim, S.M.; Yoon, E.J.; Oh, C.W.; Chung, I.; Park, D.; Kim, K. A novel multi-bridge-channel MOSFET (MBCFET): Fabrication technologies and characteristics. IEEE Trans. Nanotech. 2004, 2, 253–257. [Google Scholar] [CrossRef]
  30. Lahtinen, K.; Maydannik, P.; Johansson, P.; Kääriäinen, T.; Cameron, D.C.; Kuusipalo, J. Utilisation of continuous atomic layer deposition process for barrier enhancement of extrusion-coated paper. Surf. Coat. Tech. 2011, 205, 3916–3922. [Google Scholar] [CrossRef]
  31. Lim, S.W.; Luo, T.Y.; Jiang, J. Mechanism of silicon dioxide decoupled plasma nitridation. Jpn. J. Appl. Phys. 2006, 45, L413. [Google Scholar] [CrossRef]
  32. Chang, H.W.; Huang, P.K.; Yeh, J.W.; Davison, A.; Tsau, C.H.; Yang, C.C. Influence of substrate bias, deposition temperature and post-deposition annealing on the structure and properties of multi-principal-component (AlCrMoSiTi)N coatings. Surf. Coat. Tech. 2008, 202, 3360–3366. [Google Scholar] [CrossRef]
  33. Abdelghany, M.; Szkopek, T. Extreme sub-threshold swing in tunneling relays. Appl. Phys. Lett. 2014, 104, 013509. [Google Scholar] [CrossRef]
  34. Lee, S.T.S.; Chung, H.S.-H.; Hui, S.Y. Use of saturable inductor to improve the dimming characteristics of frequency-controlled dimmable electronic ballasts. IEEE Trans. Power Electron. 2004, 19, 1653–1660. [Google Scholar] [CrossRef]
  35. Cao, Y.; Groves, R.A.; Huang, X.; Zamdmer, N.D.; Plouchart, J.O.; Wachnik, R.A.; King, T.J.; Hu, C. Frequency-Independent equivalent-circuit model for on-chip spiral inductors. IEEE J. Solid-State Circ. 2003, 38, 419–426. [Google Scholar] [CrossRef]
  36. Barzegar, S.; Mirzajani, H.; Ghavifekr, H.B. A new linearly tunable RF MEMS varactor with latching mechanism for low voltage and low power reconfigurable networks. Wirel. Pers. Commun. 2015, 83, 2249–2265. [Google Scholar] [CrossRef]
  37. Razavi, B. RF Microelectronics, 2nd ed.; Pearson: New York, NY, USA, 2012; pp. 1–155. [Google Scholar]
  38. Wang, S.J.; Sung, S.P.; Wang, M.C.; Huang, H.S.; Chen, S.Y.; Fan, S.K. Electrical stress probing recovery efficiency of 28 nm HK/MG nMOSFETs using decoupled plasma nitridation treatment. Vacuum 2018, 153, 117–121. [Google Scholar] [CrossRef]
  39. Hu, C. Modern Semiconductor Devices for Integrated Circuits, 1st ed.; Pearson: New York, NY, USA, 2010; pp. 175–307. [Google Scholar]
  40. Boucart, K.; Ionescu, A.M. Length scaling of the Double Gate Tunnel FET with a high-k gate dielectric. Solid State Electron. 2007, 51, 1500–1507. [Google Scholar] [CrossRef]
Figure 1. The scheme of measurement system setup in electrical performance extraction.
Figure 1. The scheme of measurement system setup in electrical performance extraction.
Electronics 09 02086 g001
Figure 2. The contour of measurement system setup with (a): top-view sensed equipment and (b) 6” manual probe station.
Figure 2. The contour of measurement system setup with (a): top-view sensed equipment and (b) 6” manual probe station.
Electronics 09 02086 g002
Figure 3. A simple cross-section of high-k n-channel metal-oxide-semiconductor field-effect with 28-nm process.
Figure 3. A simple cross-section of high-k n-channel metal-oxide-semiconductor field-effect with 28-nm process.
Electronics 09 02086 g003
Figure 4. Setup for the current–voltage (C–V) measurement [39].
Figure 4. Setup for the current–voltage (C–V) measurement [39].
Electronics 09 02086 g004
Figure 5. Equivalent circuits for C–V characteristics for MOS capacitor in the depletion or inversion region. (a) both depletion and inversion regions; (b) in the depletion region; (c) VGVth; and (d) in the strong inversion region [39].
Figure 5. Equivalent circuits for C–V characteristics for MOS capacitor in the depletion or inversion region. (a) both depletion and inversion regions; (b) in the depletion region; (c) VGVth; and (d) in the strong inversion region [39].
Electronics 09 02086 g005
Figure 6. Equivalent resistance-capacitance (RC) circuits: (a) series mode and (b) parallel mode.
Figure 6. Equivalent resistance-capacitance (RC) circuits: (a) series mode and (b) parallel mode.
Electronics 09 02086 g006
Figure 7. IDS-VDS characteristics for nMOSFETs with W/L = 10 μm/1 μm under different temperature stress: (a) tested device with decoupled-plasma nitridation (DPN)-A process and (b) with DPN-B process.
Figure 7. IDS-VDS characteristics for nMOSFETs with W/L = 10 μm/1 μm under different temperature stress: (a) tested device with decoupled-plasma nitridation (DPN)-A process and (b) with DPN-B process.
Electronics 09 02086 g007
Figure 8. Measurement results of nMOSFETs with W/L = 10 μm/1 μm at 25 °C: (a) IDS–VDS characteristics with VDS = 50 mV and (b) transconductance (Gm) distribution for two treatment processes.
Figure 8. Measurement results of nMOSFETs with W/L = 10 μm/1 μm at 25 °C: (a) IDS–VDS characteristics with VDS = 50 mV and (b) transconductance (Gm) distribution for two treatment processes.
Electronics 09 02086 g008
Figure 9. Gate leakage extraction for three tested devices: (a) with DPN-A process and (b) with DPN-B process.
Figure 9. Gate leakage extraction for three tested devices: (a) with DPN-A process and (b) with DPN-B process.
Electronics 09 02086 g009
Figure 10. CV characteristics with finger-type pattern at the low and high-frequency response: (a) DPN-A device and (b) DPN-B device.
Figure 10. CV characteristics with finger-type pattern at the low and high-frequency response: (a) DPN-A device and (b) DPN-B device.
Electronics 09 02086 g010
Figure 11. Q-VGS characteristics for DPN-A finger-type pattern: (a) frequency range: 20 kHz to 100 kHz, (b) 100 kHz to 1 MHz, and (c) 400 kHz to 1 MHz.
Figure 11. Q-VGS characteristics for DPN-A finger-type pattern: (a) frequency range: 20 kHz to 100 kHz, (b) 100 kHz to 1 MHz, and (c) 400 kHz to 1 MHz.
Electronics 09 02086 g011aElectronics 09 02086 g011b
Figure 12. QVGS characteristics for finger-type pattern operated under different frequencies. The solid mark indicates the DPN-A device, and the open symbol represents the DPN-B device.
Figure 12. QVGS characteristics for finger-type pattern operated under different frequencies. The solid mark indicates the DPN-A device, and the open symbol represents the DPN-B device.
Electronics 09 02086 g012
Figure 13. Gate leakage vs. gate voltage for device each type from measurement and calculation: (a) DPN-A device and (b) DPN-B device.
Figure 13. Gate leakage vs. gate voltage for device each type from measurement and calculation: (a) DPN-A device and (b) DPN-B device.
Electronics 09 02086 g013
Figure 14. Concise energy band diagram of HK gate dielectric as VG = 0 V.
Figure 14. Concise energy band diagram of HK gate dielectric as VG = 0 V.
Electronics 09 02086 g014
Table 1. Process parameters for gate dielectric in deposition.
Table 1. Process parameters for gate dielectric in deposition.
Wafer GroupSiOx(IL) (Å)HfOx/ZrOy/HfOx (Cycles)Annealing Temperature (°C)N2
DPN-A9~1210/4/107008%
DPN-B9~1210/4/109008%
Table 2. Extracted parameters for Vth and SS.
Table 2. Extracted parameters for Vth and SS.
Wafer GroupVth (V)SS (mV/decade)
DPN-A0.32867.7
DPN-B0.30569.6
Table 3. Summary of gate leakage (A) at VGS = −Vcc or Vcc.
Table 3. Summary of gate leakage (A) at VGS = −Vcc or Vcc.
Group/Gate LeakageIG_finger at −VccIG_bulk at −VccIG_finger at VccIG_bulk at Vcc
DPN-A−3.11 × 10−7−1.55 × 10−81.95 × 10−52.24 × 10−6
DPN-B−2.12 × 10−7−1.21 × 10−83.41 × 10−54.50 × 10−6
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Chen, C.-W.; Wang, S.-J.; Hsieh, W.-C.; Chen, J.-M.; Jong, T.; Lan, W.-H.; Wang, M.-C. Q-Factor Performance of 28 nm-Node High-K Gate Dielectric under DPN Treatment at Different Annealing Temperatures. Electronics 2020, 9, 2086. https://doi.org/10.3390/electronics9122086

AMA Style

Chen C-W, Wang S-J, Hsieh W-C, Chen J-M, Jong T, Lan W-H, Wang M-C. Q-Factor Performance of 28 nm-Node High-K Gate Dielectric under DPN Treatment at Different Annealing Temperatures. Electronics. 2020; 9(12):2086. https://doi.org/10.3390/electronics9122086

Chicago/Turabian Style

Chen, Chii-Wen, Shea-Jue Wang, Wen-Ching Hsieh, Jian-Ming Chen, Te Jong, Wen-How Lan, and Mu-Chun Wang. 2020. "Q-Factor Performance of 28 nm-Node High-K Gate Dielectric under DPN Treatment at Different Annealing Temperatures" Electronics 9, no. 12: 2086. https://doi.org/10.3390/electronics9122086

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop