Next Article in Journal
Spectrum Occupancy Measurements and Analysis in 2.4 GHz WLAN
Next Article in Special Issue
Signal Processing and Analysis of Electrical Circuit
Previous Article in Journal
An Energy-Efficient and Fault-Tolerant Topology Control Game Algorithm for Wireless Sensor Network
Previous Article in Special Issue
Investigation of Induced Charge Mechanism on a Rod Electrode
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Numerical Laplace Inversion Method for Through-Silicon Via (TSV) Noise Coupling in 3D-IC Design

1
Faculty of Science and Technology, Cadi Ayyad University, Marrakesh 40000, Morocco; [email protected] (H.B.); [email protected] (H.A.)
2
Electrical Engineering Department, Royal School of Aeronautics, Marrakesh 40000, Morocco
*
Author to whom correspondence should be addressed.
Electronics 2019, 8(9), 1010; https://doi.org/10.3390/electronics8091010
Submission received: 20 August 2019 / Revised: 28 August 2019 / Accepted: 29 August 2019 / Published: 10 September 2019
(This article belongs to the Special Issue Signal Processing and Analysis of Electrical Circuit)

Abstract

:
Typical 3D integrated circuit structures based on through-silicon vias (TSVs) are complicated to study and analyze. Therefore, it seems important to find some methods to investigate them. In this paper, a method is proposed to model and compute the time-domain coupling noise in 3D Integrated Circuit (3D-IC) based on TSVs. It is based on the numerical inversion Laplace transform (NILT) method and the chain matrices. The method is validated using some experimental results and the Pspice and Matlab tools. The results confirm the effectiveness of the proposed technique and the noise is analyzed in several cases. It is found that TSV noise coupling is affected by different factors such as source characteristics, horizontal interconnections, and the type of Inputs and Outputs (I/O) drivers.

1. Introduction

Over the last four decades, silicon semiconductor technology has advanced at exponential rates in terms of performance and productivity [1,2]. Analysis of the fundamentals, materials, devices, circuits, and system limits discloses that silicon technology still has colossal potential for achieving terascale integration (TSI) of a significant number of transistors per chip. Such large-scale integration is feasible by assuming the development and bulk economic production of metal-oxide-semiconductor double-gate field-effect transistors. The development of interconnect lines for these transistors is a major challenge for the realization of nanoelectronics for TSI. Employing systems with high performance requires using two approaches. The first consists of reducing the size of the transistors, to enhance IC reduction technologies, and assembling ICs on the same chip (SoC) [3]. The second consists of developing high-performance technologies for interconnections between chips (SiP). For proper functioning, the area occupied by interconnections, which sometimes exceeds that occupied by the main functional blocks or chips, as well as their lengths must be reduced. However, since the interconnections are required in electronic systems, the number of interconnections cannot be decreased adversely to the area which can be reduced using 3D technology based on vertical interconnections.
Three-dimensional technology is acknowledged as an effective solution to overcome the challenges of miniaturization and distribution density. It combines More Moore and More than Moore, which offers many benefits. Some advantages of this technology are power efficiency, performance enhancement, cost reduction, and modular design [4,5,6]. Three-dimensional technology allows vertical stacking of chips through vertical interconnections like Through-Silicon-Via. Three-dimensional architectures contain different elements, such as through-silicon vias (TSVs), the substrate, redistribution layers (RDLs), and active circuits, which makes them difficult to model and study. To model these structures, each element is modeled using lumped circuits, and the entire model is then constructed by combining these element models in an appropriate manner.
Several papers have discussed the issue of modeling TSVs. In [7,8], the authors proposed a methodology based on Radio Frequency (RF) characterizations and simulations, leading to a frequency-dependent analytical model including the metal-oxide-semiconductor (MOS) effect of high ratio TSVs. The authors of [9] gave an accurate electrical model of TSVs considering metal-oxide-semiconductor (MOS) capacitance effects. The MOS capacitance accurately solved Poisson’s equation in cylindrical coordinates. Another compact wideband equivalent circuit model for electrical modeling of TSVs has been presented in [10]. In another previous work [11], the Resistance, Inductance and Capacitance (RLC) parameters of TSVs were modeled as a function of physical parameters and material characteristics. The RLC model is applied to predict the resistance, inductance, and capacitance of small-geometry TSV architectures. TSV impedance can also be extracted using a fully analytical and physical model in addition to Green’s function in high frequency [12]. All these previous works have given models of one TSV without considering general multi-TSV architectures. Thus, in [3,13,14] a TSV noise coupling model and TSV-to-active circuit have been proposed based on a three-dimensional transmission line matrix method (3D-TLM). Using this method, the noise transfer functions in the frequency domain from TSV-to-TSV and TSV-to-active circuit can be estimated. Other analytical models, for vias and traces, have been proposed in [15]. Vias are modeled using an analytical formulation for the parallel-plate impedance and capacitive elements, whereas the trace-via transitions are described by modal decomposition. All these proposed models are validated against full-wave methods and measurements up to 40 GHz. An efficient method to model TSV interconnections is proposed in [16]. This technique is based on solving Maxwell’s equation in integral form, the method uses a small number of global modal basis functions and can be much faster than discretization-based integral-equation methods. The models proposed in the literature differ; indeed, some models contain the depletion capacitance, TSV resistance, and TSV inductance, others neglect these elements, especially for frequencies below 20 GHs [3,13,14].
The TSV capacitance depends on both the oxide capacitance and the depletion capacitance [17]. As the TSV gate bias increases, the depletion region capacitance starts to increase, and it acts in series with oxide capacitance. Hence, a TSV capacitor, CTSV, is modeled with a series connection of the oxide capacitors and a depletion region capacitor [18]. The width of the depletion region is calculated for every geometrical variation by means of the exact Poisson’s equation for an average TSV voltage of 0.5 V, and modeled as an area where the substrate has no free charge carriers [19]. Consequently, an increasing average TSV voltage increases its isolation from the substrate [20]. Thus, a power Vdd-TSV generally draws less E-field lines than a ground GND-TSV. However, the influence of the depletion region can be neglected [19].
RDLs have an important role in TSV packaging applications, they are used to connect various elements in 3D-IC and to redistribute the signals between dies. Therefore, different works have proposed several models for these interconnections. In [3,21], the authors gave analytic RLGC equations for the equivalent circuit model of a single-ended signal RDL to estimate the electrical characteristics. For the substrate, which has a distribution nature, its model can be extracted from numerical techniques mentioned in [22,23]. By combining each partial model, the global model of 3D structures is obtained.
One of the 3D-architecture challenges is to avoid noise coupling, which is a significant problem and causes serious effects. This noise degrades system performance and makes it more sensitive. It can also be transmitted directly to an active circuit through the substrate; therefore, the signal and power are corrupted, the system reliability is reduced, and the bit error rate is increased [24,25].
The investigation of the noise coupling in 3D architecture based on TSVs is mainly done in the frequency domain. Yet, as far as we know, no technique has been proposed to compute these noises in the time domain. Hence, the objective of this paper is to propose a method to compute noise coupling in 3D-IC in the time domain. It is necessary to obtain the wave forms of these noises in the time domain in order to analyze them, since the transition effects can be better observed in the time domain. Time-domain noise coupling was obtained by the NILT method and chain matrices. First, the method was applied to three different structures. Then, the TSV coupling noise was analyzed, for each structure, to deduce how the coupling between the horizontal interconnections affects it. Simulations in Pspice were done to validate the method.
The rest of the paper is organized as follows. The NILT method in addition to a chain matrix of many studied circuits are explained in Section 2. The results and simulations are analyzed in Section 3. The conclusions are drawn in the last section.

2. Calculation of Time-Domain TSV Noise Coupling in 3D-IC Design with NILT

The use of the Laplace transform method has simplified the solution of transients on transmission lines (TL), of transients of dynamic systems, and other problems in electrical engineering. However, some difficulties appear when transforming solutions to the time domain. This makes researchers concerned to find accurate and precise numerical methods. One of these numerical methods is the numerical inverse Laplace transform (NILT) method, which can be used in cases when, for instance, the transform is a transcendental, irrational or some other complex function; then finding the solution in its analytical form is difficult and sometimes impossible [26,27].
The NILT method has been used in several works. In [28], NILT methods were selected to evaluate their performance for dealing with solution transportation in the subsurface under uniform or radial flow conditions. The authors of [29] evaluate and compare some numerical algorithms of the NILT method for the inversion accuracy of some fractional order differential equation solutions. In [30,31,32,33,34,35] the multidimensional NILT method has been explained in detail for electrical circuits.
In this paper, we were interested in 1D-NILT. Thus, a one-dimensional Laplace transform of a function f ( t ) , with; t 0 , is defined as:
F ( s ) = 0 f ( t ) e s t d t
Under the assumption | f ( t ) | M e α t , M is real positive, α is a minimal abscissa of convergence, and F ( s ) is defined on a region { s C   : Re [ s ] α } , with s = c + j Ω , c is defined as an abscissa of convergence, Ω = 2 π τ as the generalized frequency step, and τ forms a region of the solutions t [ 0   τ ] .
The original function can be given using the Bromwich integral [36]:
f ( t ) = 1 2 π j c j c + j F ( s ) . e s t d s
By using a rectangular rule of integration as mentioned in [30], Equation (3) is found.
f ( t ) = exp ( c t ) τ     n = 0 F ( s ) exp ( j n Ω t )
As explained in [30], by substituting s = c + j n Ω into Equation (1), if the obtained function has integration ranges split into infinite numbers of steps of the length τ , F ( s ) could be written as:
F n = F ( c + j n Ω ) = l = 0 l τ ( l + 1 ) τ g ( t )   exp ( j n Ω t )   d t
g ( t ) is an exponentially damped object function. Then for t [ l τ , τ ( l + 1 ) ] , the functions g l ( t ) and F ( s ) are given by:
g l ( t ) = f ( t ) exp ( c t )
F ( c + j n Ω ) = τ l = 0 C l , n
where:
C l , n = 1 τ l τ ( l + 1 ) τ g l ( t )   exp ( j n Ω t ) d t
Applying complex Fourier series to Equation (5), g l ( t ) could be found as:
g l ( t ) = n = + C l , n exp ( j n Ω t )
Moreover, by substituting Equation (6) into Equation (3) and considering Equation (8), it is found that the approximate original function exponentially damped could be expressed as the infinite sum of the newly defined periodical function, Equation (5).
By exploiting all the previous equations, f ( t ) is obtained and the absolute error ε ( t ) = f ( t ) f ( t ) can be computed.
f ( t ) = f ( t ) + l = 1 f ( l τ + t ) . exp ( c l τ )
A limiting absolute error is determined as ε M ( t ) ε ( t ) , then | f ( t ) | M e α t , so a limiting relative error δ M could also be controlled, and a path of integration from a required limit relative error could be chosen using Equation (10).
c = α 1 τ ln ( 1 1 1 + δ M ) α 1 τ ln ( δ M )
This formula is valid, with a relative error achieved by the NILT f ( t ) , if infinite numbers of terms are used in series, and is a suitable technique for accelerating a convergence and for achieving the convergence of infinite series in a suitable way. Equation (3) can be rewritten using FFT and IFFT algorithms for an effective computation. Based on the experience of the authors of [31], the quotient-difference (q-d) algorithm of Rutishanser seems to give errors rather close to δ M predicted by Equation (10), while considering a relatively small number of additional terms.
While considering a discrete variable in the original domain, t k = k T , where T is a sampling period, f ( t ) could be expressed as:
f k = exp ( c k T ) τ   n = F ( c + j n 2 π τ )   exp ( j 2 π n k T τ )
The above stated formula could be decomposed as:
f k = C k [ n = 0 N 1 F ( n ) z k n + n = 0 G ( n ) z k n + n = 0 N 1 F ( n ) z k n + n = 0 G ( n )   z k n F ( 0 ) ]
where N = 2 k , k integer, F ( ± n ) = F ( c j n Ω ) , G ( ± n ) = F ( ± N ± n ) , z ± k = exp ( ± j 2 π k T τ ) , and C k = exp ( c k T ) τ , while τ = N T , k , and z ± k N = exp ( ± j 2 π k ) = 1 .
In Equation (12), the first and the third sum are evaluated using the FFT and IFFT algorithms, respectively, while other parts, which present the infinite sum, are used as the input data in the q-d algorithm that uses a very small number of necessary additional terms, as explained in [24]. The computing region should be chosen as: O c a l = ( 0 , t c a l ) , where t c a l = ( N 2 1 ) . T .
Time-domain noise coupling could be easily obtained by the explained method in 3D technology based on TSVs.
In order to compute the noise coupling, different circuits were treated. The first structure is illustrated in Figure 1. This figure represents a basic structure of the TSV–TSV noise coupling [3]. It is composed of two signal TSVs, two ground TSVs, and is terminated by I/O drivers. The simplified lumped circuit model of this structure is given in Figure 2, where CTSV-equiv is the total equivalent TSV capacitance, Rsub-equiv is the substrate resistance, and Csub-equiv is the substrate capacitance. In this simplified model, proposed in [3], the TSV resistance (RTSV), the TSV inductance (LTSV), and the depletion region are neglected, but in our work RTSV and LTSV are kept. In the study just mentioned, the authors assume that their effects appear in frequencies above 12 GHz. To consider the effect of the depletion region, which is modeled by a capacitance, it is enough to add its value to the TSV capacitance. The I/O drivers can be modeled as a resistor for the output driver and as a capacitor for the input driver that represents the MOS gate capacitance. The I/O drivers are presented by the impedances Z1, Z2, Z3, and Z4. To apply the NILT method, the conceptual structure can be modeled with a T-matrix, as illustrated in the figure. The entire matrix of the circuit is the product of T1, T2, and T3, as defined below.
( V 1 I 1 ) = [ T ]     ( V 2 I 2 )
where:
[ T ] = [ T 4 ]   [ T 1 ]   [ T 2 ]   [ T 3 ]   [ T 4 ]
[ T 1 ] = [ 1 0 1 ( Z 2 + R t s v 2 + s L t s v 2 ) 1 ]
[ T 2 ] = [ 1 Z e q 0 1 ]
[ T 3 ] = [ 1 0 1 ( Z 3 + R t s v 2 ) + s L t s v 2 1 ]
[ T 4 ] = [ 1 R t s v + s L t s v 0 1 ]
Z e q = 2 2 C T S V e q u i v s + R s u b e q u i v 1 + R s u b e q u i v C s u b e q u i v s
Observing the circuit, Equations (14) and (15) are found:
V i n ( s ) = Z 1 ( s ) I 1 ( s ) + V 1 ( s )
V 2 ( s ) = Z 4 ( s ) I 2 ( s )
By exploiting Equations (13)–(15), the noise V2 could be expressed in the frequency domain according to Vin, then the NILT method can be applied, by replacing F(s) by V2(s) in previous equations, to find the noise in the time domain. The voltage source Vin is a periodic trapezoidal signal switching expressed by Equation (16).
V i n ( s ) = n = 0 exp ( s n T ) . E ( s )
where T is the period and E(s) represents the trapeze shape.
Then, while 1 1 x = n = 0 x n , Equation (16) could be written as:
V i n ( s ) = 1 1 exp ( T s ) E ( s )
The second analyzed structure is given in Figure 3. It represents the conceptual view of TSV–active circuit noise coupling. The equivalent circuit model of this structure is similar to that in Figure 2, except that the capacity on the right is eliminated [3]. Consequently, the calculation was also done in the same way.
Because of the diversity of electronic devices, and the presence of many stacked dies in 3D technology, the second studied circuit contains two stacked dies with two interconnect lines. The concerned structure is presented in Figure 4. First, the noise coupling was calculated without taking into consideration the coupling between the two interconnect lines, only the coupling between the TSVs in each level was considered. This conceptual structure is modeled by a lumped circuit, as given in Figure 5.
The electrical schema presented in Figure 5 is composed of a lumped circuit model of TSV–TSV noise coupling in each die, two interconnect lines to distribute signals between dies, and I/O drivers modeled by Z1, Z2, Z3, and Z4.
As explained above, before applying the NILT method, the global T-matrix of the circuit must be found. The matrices Tsub, Ttsv, Ttl, T3, and T4 were used. First, T1 and T2 were calculated using Equations (18) and (19), respectively, then a transformation to Y1 and Y2 of T1 and T2, respectively, was made. This transformation was performed to find the global Yg of the circuit without Z1, Z4, and Ztsv near Z1 and Z4. Then another transformation from Yg to Tg was performed. When finding Tg, it is multiplied by Ttsv on the left and right sides, and by using Equations (13), (14), and (21) V2 is found according to Vin.
[ T 1 ] = [ T s u b ] . [ T 3 ] . [ T t s v ] . [ T t l ] . [ T t s v ]
[ T 2 ] = [ T t s v ] . [ T t l ] . [ T t s v ] . [ T 4 ] . [ T s u b ]
[ Y g ] = [ Y 1 ] + [ Y 2 ]
V 2 = Z 4 . I 2
where:
[ T t l ] = [ cos ( β l ) j Z 0 sin ( β l ) j sin ( β l ) Z 0 cos ( β l ) ]
where β is the propagation constant, l and Z0 are the length and the characteristic impedance, respectively, of the interconnect line, and:
[ T s u b ] = [ 1 Z e q 0 1 ]
[ T t s v ] = [ 1 Z t s v 0 1 ]
[ T 4 ] = [ 1 0 1 Z 4 + Z t s v 1 ]
[ T 3 ] = [ 1 0 1 Z t s v + Z 3 1 ]
To consider the coupling between the interconnect lines, the conceptual structure presented in Figure 4 is modeled by the lumped circuit model shown in Figure 6. In the schema, the interconnect lines are presented by the equivalent circuit model of RDL [21]. As already explained above, to apply the NILT method, the total T-matrix of the circuit was calculated and then the noise Vn according to Vin was found.
First, the total T-matrix, Tg, was computed as in Equation (23), then a transformation to Yg was done to find the equivalent circuit of Figure 7. Hence, exploiting this figure and Equations (24)–(26), the noise Vn was calculated according to Vin.
[ T g ] = [ T t s v ] . [ T r d l ] . [ T t s v ]
( I 1 I 2 ) = [ Y 11 Y 12 Y 21 Y 22 ]   ( V 1 V 2 )
V i n = ( Z 1 + Z 3 )   I 1 + V 1
V 2 + ( Z 4 + Z 2 Z 4 )   V n = 0
The total admittance of all previous circuits could also be calculated, as mentioned in [37], before applying the NILT method.
The proposed method can be summarized in the diagram of Figure 8.

3. Results and Discussions

In order to evaluate the effectiveness of the proposed method, simulation tests of the previous circuits were carried out. Simulations were performed with the Matlab and Pspice tools for all schemes, while the experimental tests of circuits 1 and 2 were taken from [13]. To take the measurements, the test vehicle in Figure 1 was fabricated using the Hynix via-last TSV process. The TSV circuit elements were calculated using the TLM-3D method; when the TSV diameter is 33 µm, the TSV pitch is 250 µm, the TSV dioxide thickness is 0.52 µm, and the TSV height is 105.2 µm. The RDL parameters were calculated using the method cited in [21]. Lumped circuit element values are listed in Table 1, Table 2 and Table 3. The accuracy and efficiency of the computing method were validated by simulations in Pspice and the measurements of [13].

3.1. Validation of the Proposed Method

In order to verify the validity of the proposed method, it was applied first to the TSV–TSV and TSV–active circuit noise coupling circuits. The simulated waveforms of the electrical models of Figure 2 and Figure 3 are shown in Figure 9, Figure 10 and Figure 11. A trapezoidal signal switching from 0 to 1.8 V with a rising/falling time of 40 ps and a source resistance of 50 Ω at frequencies 100 MHz and 1 GHz is used. For a first test, Z1, Z2, Z3, and Z4 were replaced by resistances of 50 Ω.
Based on the results reported in the figures, it can be seen that the proposed method is in good agreement with the experiments. By analyzing these results, one can see that the proposed method is valid.

3.2. Time-Domain Analysis of the Coupling Noise with I/O Drivers Load

In Figure 9, Figure 10 and Figure 11, the TSV coupling noise was computed based on the assumption that all TSVs are terminated with 50 Ω. However, TSVs are usually terminated with I/O drivers; therefore, the TSV I/O terminations must be considered as mentioned before. For the analysis, Z2 and Z4 were replaced by a capacitance of 10 fF. Figure 11 depicts the TSV–TSV noise coupling for a trapezoidal signal switching from 0 to 1 V and from 0 to 1.8 V. The results show that the coupling noise increases when Z2 and Z4 are replaced by the capacitances. The peak-to-peak coupling noise increases from 80 mV (Figure 10) to 170 mV (Figure 12). The peak-to-peak coupling noise increases from 170 mV to 310 mV when the source changes from 1 V to 1.8 V. These results imply that the type of termination and the source significantly affects the coupling noise. The TSV I/O buffer size also influences TSV noise coupling and must be considered.
The RDL redistributes the signals to connect I/Os or power/ground when two different dies with via-last processed TSVs are integrated vertically. Therefore, for advanced 3D-IC design, analyzing TSV noise coupling with RDLs is very important.
The results found for the circuit presented in Figure 5 are illustrated in Figure 13, Figure 14, Figure 15 and Figure 16 separately for lRDL = 200 µm and lRDL = 500 µm. These results present the TSV noise coupling without the coupling among the RDLs. A trapezoidal signal switching from 0 to 1.8 V with a rising/falling time of 10 ps and a source resistance of 50 Ω at frequency 1 GHz was used, Z1 and Z3 were replaced by resistances of 50 Ω, and Z2 and Z4 were replaced by capacitances of 10 fF.
It is observed that the coupling noise spreads on the stacked dies through used interconnections. The peak-to-peak coupling noise increases from 50 mV to 80 mV when the length of the interconnect line (RDL) changes. It is also observed that both ports 3 and 4, which represent, respectively, the input and the output drivers, are affected by the coupling noise. By analyzing the obtained results, the presence of horizontal interconnections can add the coupling noise.
In high frequencies, coupling among the horizontal interconnections cannot be neglected. Indeed, a study including the coupling between the RDLs was done. The obtained results based on Figure 6 are depicted in Figure 17, Figure 18 and Figure 19.
The simulations were done for different RDL lengths and several rise/fall time values. The noise was studied only at port 4.
Observing Figure 13 and Figure 17, the peak-to-peak coupling noise increases when the coupling between RDLs is added. In addition, comparing the results of Figure 17 and Figure 18, the peak-to-peak coupling noise increases when the RDL length increases. Simulation results of these case studies imply that, when the RDL length increases, the effect of the substrate elements among RDLs increases, and RRDL and LRDL change. Thus, the losses from the RDL are significant.
In a similar manner to the previous analysis, the effect of the rise/fall time variation is depicted in Figure 18, Figure 19 and Figure 20. The results show that, as tr increases from 10 ps to 20 ps and from 20 ps to 50 ps, pick-to-pick coupling noise decreases, respectively, from 1400 mV to 700 mV and from 700 mV to 550 mV. As a result, the rise/fall time is one of the most important factors that affect the TSV–TSV noise coupling in 3D-IC design.
In summary, the method proposed to compute the coupling noise was validated using measurements and the Pspice and Matlab tools. Then, the time-domain analysis for several factors that must be considered was done.

4. Conclusions

In this paper, a method to compute the time-domain coupling noise in 3D-IC design has been proposed and explained in detail. The proposed method is based on 1D-NILT and chain matrices. It is effective and simple to apply. The used technique was validated using measurements of [13] and the Pspice tool.
The advantage of the proposed method is to compute the coupling noises of 3D structures based on TSVs, since transition phenomena are better observed in the time domain and not in the frequency domain.
A time domain analysis was done using several factors, such as different types of I/O drivers, the coupling between the horizontal interconnections, and the rise/fall time of the source. It was found that the type and the size of the TSV I/O buffer significantly influence the coupling noise. In addition, the presence of coupling between horizontal interconnections increases the noise at components of the 3D structures. These noises must be taken into consideration and must be minimized.

Author Contributions

Data curation, K.A.B.; Investigation, K.A.B. and H.B.; Methodology, K.A.B. and H.B.; Resources, K.A.B.; Supervision, H.B. and H.A.; Validation, H.B.; Writing—original draft, K.A.B.; Writing—review and editing, K.A.B. and H.B.

Funding

This research received no external funding.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Meindl, J.D.; Chen, Q.; Davis, J.A. Limits on Silicon Nanoelectronics for Terascale Integration. Comput. Sci. 2001, 293, 2044–2049. [Google Scholar] [CrossRef] [PubMed]
  2. Borkar, S. Design Challenges of Technology Scaling. IEEE Micro. 1991, 19, 23–29. [Google Scholar] [CrossRef]
  3. Lee, M.; Pak, J.S.; Kim, J. Electrical Design of through Silicon Via; Springer: Dordrecht, The Netherlands; Heidelberg, Germany; New York, NY, USA; London, UK, 2014. [Google Scholar]
  4. Koester, S.J.; Young, A.M.; Yu, R.R.; Purushothaman, S.; Chen, K.-N.; La Tulipe, D.C.; Rana, N.; Shi, L.; Wordeman, M.R.; Sprogis, E.J. Wafer-level 3D integration technology. IBM J. Res. Dev. 2008, 52, 583–597. [Google Scholar] [CrossRef]
  5. Knicherbacker, J.U.; Andry, P.S.; Dang, B.; Horton, R.R.; Interrante, M.J.; Patel, C.S.; Polastre, R.J.; Sakuma, K.; Sirdeshmukh, R.; Sprogis, E.J.; et al. Three-dimensional silicon integration. IBM J. Res. Dev. 2008, 52, 553–569. [Google Scholar] [CrossRef] [Green Version]
  6. Garrou, P.E. Wafer-level 3D integration moving forward. Semicond. Int. 2006, 29, 12–17. [Google Scholar]
  7. Cadix, L.; Fuchs, C.; Rousseau, M.; LeDuc, P.; Chaabouni, H.; Thuaire, A.; Brocard, M.; Valentian, A.; Farcy, A.; Bermond, C.; et al. Integration and frequency dependent parametric modeling of Through Silicon Via involved in high density 3D chip stacking. ECS Trans. 2010, 33, 1–21. [Google Scholar]
  8. Ryu, C.; Lee, J.; Lee, H.; Lee, K.; Oh, T.; Kim, J. High Frequency Electrical Model of through Wafer Via for 3D Stacked Chip Packaging. In Proceedings of the ESTC, Dresden, Germany, 5–7 September 2006; pp. 215–220. [Google Scholar]
  9. Bandyopadhyay, T.; Han, K.J.; Chung, D.; Chatterjee, R.; Swaminathan, M.; Tummala, R. Rigorous Electrical Modeling of TSVs with MOS Capacitance Effects. IEEE Trans. Compon. Packag. Manuf. Technol. 2011, 1, 893–903. [Google Scholar] [CrossRef]
  10. Liu, E.X.; Li, E.P.; Ewe, W.B.; Lee, H.M.; Lim, T.G.; Gao, S. Compact Wideband Equivalent Circuit Model for Electrical Modeling of TSV. IEEE Trans. Microw. Theory Tech. 2011, 59, 1454–1460. [Google Scholar]
  11. Katti, G.; Stucchi, M.; Meyer, K.D.; Dehaene, W. Electrical Modeling and Characterization of TSV for 3D-ICs. IEEE Trans. Electron Devices 2010, 57, 256–262. [Google Scholar] [CrossRef]
  12. Xu, C.; Kourkoulos, V.; Suaya, R.; Banerjee, K. A Fully Analytical Model for the Series Impedance of TSV with Consideration of Substrate Effects and Coupling with Horizontal Interconnects. IEEE Trans. Electron Devices 2011, 58, 3529–3540. [Google Scholar] [CrossRef]
  13. Cho, J.; Song, E.; Yoon, K.; Pak, J.S.; Kim, J.; Lee, W.; Song, T.; Kim, K.; Lee, J.; Lee, H.; et al. Modeling and Analysis of TSV Noise Coupling and Suppressing Using a Guard Ring. IEEE Trans. Compon. Packag. Manuf. Technol. 2011, 1, 220–233. [Google Scholar] [CrossRef]
  14. Lim, J.; Cho, J.; Jung, D.H.; Kim, J.J.; Choi, S.; Kim, D.H.; Lee, M.; Kim, J. Modeling and analysis of TSV noise coupling effects on RFLC-VCO and shielding structures in 3D-IC. IEEE Trans. Electromagn. Compat. 2018, 60, 1939–1947. [Google Scholar] [CrossRef]
  15. Rimolo-Donadio, R.; Gu, X.; Kwark, Y.; Ritter, M.; Archambeault, B.; De Paulis, F.; Zhang, Y.; Fan, J.; Bruns, H.-D.; Schuster, C. Physics-based via and trace models for efficient link simulation on multilayer structures up to 40 GHz. IEEE Trans. Microw. Theory Tech. 2009, 57, 2072–2083. [Google Scholar] [CrossRef]
  16. Han, K.J.; Swaminathan, M.; Bandyopadhyay, T. Electromagnetic modeling of through-silicon via (TSV) interconnections using cylindrical modal basis functions. IEEE Trans. Adv. Packag. 2010, 33, 804–817. [Google Scholar] [CrossRef]
  17. Beanato, G.; Gharibdoust, K.; Cevrero, A.; De Micheli, G.; Leblebici, Y. Design and analysis of jitter-aware low-power and high-speed TSV link for 3D-ICs. Microelectron. J. 2016, 48, 50–59. [Google Scholar] [CrossRef]
  18. Attarzadeh, H.; Lim, S.K.; Ytterdal, T. Design and Analysis of a Stochastic Flash Analog-to-Digital Converter in 3D-IC technology for integration with ultrasound transducer array. Microelectron. J. 2016, 48, 39–49. [Google Scholar] [CrossRef]
  19. Bamberg, L.; Najafi, A.; García-Ortiz, A. Edge effects on the TSV array capacitances and their performance influence. Integration 2018, 61, 1–10. [Google Scholar] [CrossRef]
  20. Xu, C.; Li, H.; Suaya, R.; Banerjee, K. Compact AC modeling and performance analysis of through-silicon vias in 3D-ICs. IEEE Trans. Electron. Devices 2010, 57, 3405–3417. [Google Scholar] [CrossRef]
  21. Kim, J.; Pak, J.S.; Cho, J.; Song, E.; Cho, J.; Kim, H.; Song, T.; Lee, J.; Lee, H.; Park, K.; et al. High-Frequency scalable electrical model and analysis of Through Silicon Via (TSV). IEEE Trans. Compon. Packag. Manuf. Technol. 2011, 1, 181–195. [Google Scholar]
  22. Kerns, K.J.; Wemple, I.L.; Yang, A.T. Stable and efficient reduction of substrate model networks using congruence transforms. In Proceedings of the IEEE/ACM International Conference Computer-Aided Design, San Jose, CA, USA, 5–9 November 1995; pp. 207–214. [Google Scholar]
  23. Verghese, N.K.; Allstot, D.J.; Masui, S. Rapid simulation of substrate coupling effects in mixed-mode ICs. In Proceedings of the IEEE Custom Integrated Circuits Conference, San Diego, CA, USA, 9–12 May 1993; pp. 18.3.1–18.3.4. [Google Scholar]
  24. Shim, Y.; Park, J.; Kim, J.; Song, E.; Yoo, J.; Pak, J.; Kim, J. Modeling and analysis of simultaneous switching noise coupling for a CMOS negative-feedback operational amplifier in system-in-package. IEEE Trans. Electromagn. Compat. 2009, 51, 763–773. [Google Scholar] [CrossRef]
  25. Koo, K.; Shim, Y.; Yoon, C.; Kim, J.; Yoo, J.; Pak, J.S.; Kim, J. Modeling and analysis of power supply noise imbalance on ultra-high frequency differential low noise amplifiers in a system-in-package. IEEE Trans. Adv. Packag. 2010, 33, 602–616. [Google Scholar] [CrossRef]
  26. Li, J.; Farquharson, C.G.; Hu, X. Three effective inverse Laplace transform algorithms for computing time-domain electromagnetic responses. Geophysics 2016, 81, E113–E128. [Google Scholar] [CrossRef]
  27. Liu, L.; Xue, D.; Zhang, S. Closed-loop time response analysis of irrational fractional-order systems with numerical Laplace transform technique. Appl. Math. Comput. 2019, 350, 133–152. [Google Scholar] [CrossRef]
  28. Wan, Q.; Zhan, H. On different numerical inverse Laplace methods for solute transport. Adv. Water Resour. 2015, 75, 80–92. [Google Scholar]
  29. Dingfelder, B.; Weideman, J.A.C. An improved Talbot method for numerical Laplace transform inversion. Numer. Algorithms 2015, 68, 167–183. [Google Scholar] [CrossRef]
  30. Brancik, L. Numerical Inversion of Two-Dimensional Laplace Transforms Based on Partial Inversions. In Proceedings of the 17th international Conference Radioelektronika, Brno, Czech Republic, 24–25 April 2007. [Google Scholar]
  31. Brancik, L. Technique of 3D NILT based on complex Fourier Series and Quotient-Difference Algorithms. In Proceedings of the 17th IEEE International Conference on Electronics Circuits and Systems, Athens, Greece, 12–15 December 2010. [Google Scholar]
  32. Brancik, L. Matlab Simulation of Nonlinear Electrical Networks via Volterra Series Expansion and Multidimensional NILT. In Proceedings of the 2017 Progress in Electromagnetic Research Symposium-Fall, Singapore, 19–22 November 2017. [Google Scholar]
  33. Chakarothai, J. Novel FDTD Scheme for Analysis of Frequency-Dependent Medium Using Fast Inverse Laplace Transform and Prony’s Method. IEEE Trans. Antennas Propag. 2018. [Google Scholar] [CrossRef]
  34. Sheng, H.; Li, Y.; Chen, Y. Application of numerical inverse Laplace transform algorithms in fractional calculus. J. Frankl. Inst. 2011, 348, 315–330. [Google Scholar] [CrossRef]
  35. Brancik, L. Error Analysis at Numerical Inversion of Multidimensional Laplace Transforms Based on Complex Fourier Series Approximation. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 2011, E94-A, 999–1001. [Google Scholar] [CrossRef]
  36. Al-Zubaidi, N.; Brančík, L. Convergence Acceleration Techniques for Proposed Numerical Inverse Laplace Transform Method. In Proceedings of the 24th Telecommunication form TELFOR, Belgrade, Serbia, 22–23 November 2016. [Google Scholar]
  37. Ait-Belaid, K.; Belahrach, H.; Ayad, H. Investigation and Analysis of the Simultaneous Switching Noise in Power Distribution Network with Multi-Power Supplies of High-Speed CMOS Circuits. Act. Passiv. Electron. Compon. 2017. [Google Scholar] [CrossRef]
Figure 1. The through-silicon via (TSV)–TSV noise coupling structure with I/O termination.
Figure 1. The through-silicon via (TSV)–TSV noise coupling structure with I/O termination.
Electronics 08 01010 g001
Figure 2. Lumped circuit model of TSV–TSV noise coupling.
Figure 2. Lumped circuit model of TSV–TSV noise coupling.
Electronics 08 01010 g002
Figure 3. The conceptual view of TSV–active circuit noise coupling.
Figure 3. The conceptual view of TSV–active circuit noise coupling.
Electronics 08 01010 g003
Figure 4. The conceptual view of a TSV noise coupling structure with interconnect lines and I/O drivers.
Figure 4. The conceptual view of a TSV noise coupling structure with interconnect lines and I/O drivers.
Electronics 08 01010 g004
Figure 5. The equivalent circuit model of TSV noise coupling with interconnect line.
Figure 5. The equivalent circuit model of TSV noise coupling with interconnect line.
Electronics 08 01010 g005
Figure 6. The equivalent circuit model of TSV noise coupling with redistribution layers (RDLs).
Figure 6. The equivalent circuit model of TSV noise coupling with redistribution layers (RDLs).
Electronics 08 01010 g006
Figure 7. The admittance equivalent circuit of TSV noise coupling with RDLs.
Figure 7. The admittance equivalent circuit of TSV noise coupling with RDLs.
Electronics 08 01010 g007
Figure 8. Block diagram of the proposed method.
Figure 8. Block diagram of the proposed method.
Electronics 08 01010 g008
Figure 9. The proposed method and measured coupling of the TSV–TSV test vehicle (the input clock frequency is 100 MHz).
Figure 9. The proposed method and measured coupling of the TSV–TSV test vehicle (the input clock frequency is 100 MHz).
Electronics 08 01010 g009
Figure 10. The proposed method and measured coupling of the TSV–TSV test vehicle (the input clock frequency at port 1 is 1 GHz).
Figure 10. The proposed method and measured coupling of the TSV–TSV test vehicle (the input clock frequency at port 1 is 1 GHz).
Electronics 08 01010 g010
Figure 11. The proposed method and measured coupling noise of the TSV–active circuit (the input clock frequency at port 1 is 1 GHz).
Figure 11. The proposed method and measured coupling noise of the TSV–active circuit (the input clock frequency at port 1 is 1 GHz).
Electronics 08 01010 g011
Figure 12. The proposed method and Pspice simulation of the coupling noise of TSV–TSV (Vin = 1 V and 1.8 V).
Figure 12. The proposed method and Pspice simulation of the coupling noise of TSV–TSV (Vin = 1 V and 1.8 V).
Electronics 08 01010 g012
Figure 13. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 200 µm) at port 4.
Figure 13. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 200 µm) at port 4.
Electronics 08 01010 g013
Figure 14. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 500 µm) at port 4.
Figure 14. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 500 µm) at port 4.
Electronics 08 01010 g014
Figure 15. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 200 µm) at port 3.
Figure 15. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 200 µm) at port 3.
Electronics 08 01010 g015
Figure 16. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 500 µm) at port 3.
Figure 16. The proposed method and Pspice simulation of the TSV–TSV coupling noise with uncoupled RDLs (lRDL = 500 µm) at port 3.
Electronics 08 01010 g016
Figure 17. The proposed method and Pspice simulation of the TSV–TSV coupling noise with coupled RDLs (lRDL = 200 µm and tr= 10 ps) at port 4.
Figure 17. The proposed method and Pspice simulation of the TSV–TSV coupling noise with coupled RDLs (lRDL = 200 µm and tr= 10 ps) at port 4.
Electronics 08 01010 g017
Figure 18. The proposed method and Pspice simulation of the TSV–TSV coupling noise with coupled RDLs (lRDL = 500 µm and tr = 10 ps) at port 4.
Figure 18. The proposed method and Pspice simulation of the TSV–TSV coupling noise with coupled RDLs (lRDL = 500 µm and tr = 10 ps) at port 4.
Electronics 08 01010 g018
Figure 19. The proposed method and Pspice simulation of the TSV–TSV coupling noise with RDL (lRDL = 500 µm and tr = 20 ps) at port 4.
Figure 19. The proposed method and Pspice simulation of the TSV–TSV coupling noise with RDL (lRDL = 500 µm and tr = 20 ps) at port 4.
Electronics 08 01010 g019
Figure 20. The proposed method and Pspice simulation of the TSV–TSV coupling noise with RDL (lRDL = 500 µm and tr = 50 ps) at port 4.
Figure 20. The proposed method and Pspice simulation of the TSV–TSV coupling noise with RDL (lRDL = 500 µm and tr = 50 ps) at port 4.
Electronics 08 01010 g020
Table 1. Lumped circuit elements of TSV–TSV noise coupling.
Table 1. Lumped circuit elements of TSV–TSV noise coupling.
ComponentValue
Ctsv-equi201.3 fF
Rtsv0.001 Ω
Ltsv20.7 pH
Rsub-equi928.5 Ω
Csub-equiv11.2 fF
Table 2. Lumped circuit elements of TSV–active circuit noise coupling.
Table 2. Lumped circuit elements of TSV–active circuit noise coupling.
ComponentValue
Ctsv-equiv817.5 fF
Rtsv0.001 Ω
Ltsv20.7 pH
Rsub-equiv879.5 Ω
Csub-equiv12 fF
Table 3. Lumped circuit elements of the RDL.
Table 3. Lumped circuit elements of the RDL.
Length of the LineComponentValue
lRDL = 200 µmRrdl0.00672 Ω
Lrdl0.1664 nH
Crdl7.66 fF
Crdl-to-sub364.65 fF
Csub-rdl0.13 fF
Rsub-rdl836.12 fF
lRDL = 500 µmRrdl0.0168 Ω
Lrdl0.42 nH
Crdl19.15 fF
Crdl-to-sub911.64 fF
Csub-rdl0.33 fF
Rsub-rdl334.44 Ω

Share and Cite

MDPI and ACS Style

Ait Belaid, K.; Belahrach, H.; Ayad, H. Numerical Laplace Inversion Method for Through-Silicon Via (TSV) Noise Coupling in 3D-IC Design. Electronics 2019, 8, 1010. https://doi.org/10.3390/electronics8091010

AMA Style

Ait Belaid K, Belahrach H, Ayad H. Numerical Laplace Inversion Method for Through-Silicon Via (TSV) Noise Coupling in 3D-IC Design. Electronics. 2019; 8(9):1010. https://doi.org/10.3390/electronics8091010

Chicago/Turabian Style

Ait Belaid, Khaoula, Hassan Belahrach, and Hassan Ayad. 2019. "Numerical Laplace Inversion Method for Through-Silicon Via (TSV) Noise Coupling in 3D-IC Design" Electronics 8, no. 9: 1010. https://doi.org/10.3390/electronics8091010

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop