Next Article in Journal
Person Re-Identification Enhanced by Super-Resolution Technology
Previous Article in Journal
Lightweight Configurable Delay-Based LFSR PUF Design on FPGA
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Fabrication and Characterization of Back-Gate and Front-Gate Ge-on-Insulator Transistors for Low-Power Applications

1
Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, China
2
Guangzhou Noor Optoelectronic Technology Co., Ltd., Guangzhou 510530, China
3
Soi Micro Co., Ltd., Guangzhou 510530, China
4
Beijing Superstring Academy of Memory Technology, Beijing 100176, China
5
Tsinghua National Laboratory for Information Science and Technology, Institute of Microelectronics, Tsinghua University, Beijing 100084, China
*
Authors to whom correspondence should be addressed.
Electronics 2025, 14(23), 4646; https://doi.org/10.3390/electronics14234646
Submission received: 5 November 2025 / Revised: 21 November 2025 / Accepted: 24 November 2025 / Published: 26 November 2025

Abstract

Germanium (Ge) has long been regarded as a promising channel material, owing to its superior carrier mobility and highly tunable electronic band structure. The new generation of low-power electronics is approaching the formation of fully depleted (FD) transistors on Si-on-insulator (SOl) and Ge-on-insulator (GOl) substrates. In this work, we present a full process of a novel FDGOI transistor formed on a strained GOI with low defect density. This scalable and industry-compatible approach enables the formation of uniform 50 nm thick Ge layers by using spinning wet etch with ultrasmooth surfaces (RMS roughness = 0.262 nm) and a low etch-pit density of ~105 cm−2. Electrical measurements reveal excellent carrier transport properties, with back-gate (BG) transistors achieving mobilities of 550–600 cm2/V·s, while front-gate (FG) devices exhibit sharp switching behavior and steep subthreshold slopes, yielding ION/IOFF ratios up to 105. Temperature-dependent measurements further demonstrate a pronounced enhancement of device performance: the ION/IOFF ratio increases to 106, the subthreshold swing (SS) decreases from 179 mV/dec at room temperature to 137 mV/dec at 120 K, and the threshold-voltage shift with temperature is as low as 1.87 mV/K across the range of 30–300 K. Such behavior highlights the potential of band-gap engineering for precise threshold-voltage control. Taken together, these results establish GOI as a CMOS-compatible material platform and provide a solid technological basis for the development of next-generation low-power transistors beyond conventional CMOS scaling.

1. Introduction

As conventional transistor scaling approaches its physical and technological limits, further reductions in device dimensions face increasingly stringent constraints, driving the search for high-mobility, energy-efficient channel materials and advanced device architectures [1,2,3,4,5,6,7,8]. Fully depleted silicon-on-insulator (FDSOI) devices, including fin field-effect transistors (FinFETs) [9,10,11,12,13,14,15,16,17,18,19,20,21] and ultra-thin-body silicon-on-insulator (SOI) transistors [22,23,24,25,26,27,28], have demonstrated low power consumption and effective suppression of short-channel effects (SCEs), establishing them as preferred candidates for current technology nodes. Nevertheless, even advanced architectures such as gate-all-around (GAA) [29,30,31] and complementary field-effect transistors (CFETs) [32], while offering superior electrostatic control and minimal off-state leakage, remain fundamentally constrained by the low on-state current (ION) of Si-based channels. These limitations arise from the relatively low carrier mobility of Si, which is further reduced under high electric fields due to velocity saturation and enhanced surface scattering, as well as the difficulty of maintaining subthreshold swing during aggressive gate length scaling. Accordingly, identifying alternative channel materials capable of higher intrinsic mobility, enhanced strain response, and energy-efficient operation has become imperative to sustain transistor performance scaling.
Silicon-germanium (SiGe) alloys have been widely used as embedded source/drain (S/D) regions to induce uniaxial or biaxial strain, enhancing carrier mobility through band splitting and effective mass modulation in both p-type metal-oxide-semiconductor (pMOS) and n-type metal-oxide-semiconductor (nMOS) devices [33,34,35]. In pMOS transistors, compressive strain lifts valence band degeneracy, reducing hole effective mass and improving hole mobility, whereas tensile strain in nMOS devices lowers conduction band effective mass and enhances electron transport [8]. However, the intrinsic mobility of Si channels remains a fundamental bottleneck, and SiGe-based strain engineering alone cannot fully address the limitations of drive current and switching performance [10]. Germanium (Ge), with its narrower bandgap, higher intrinsic mobilities for both electrons and holes, and stronger coupling to strain-induced band structure modifications, presents a compelling alternative [36,37,38,39]. GOI platforms integrate ultrathin, high-mobility Ge channels with low-leakage insulator substrates, offering a unique opportunity to decouple short-channel effects from material limitations [40,41,42,43,44,45,46,47]. Precise control over dislocation density, interface states, and channel strain enables systematic mitigation of scattering centers, while maintaining wafer-scale uniformity. Furthermore, the dependence of carrier transport on Ge thickness, strain distribution, surface morphology, and defect density can be explored through complementary back-gate (BG) and front-gate (FG) architectures. Investigating temperature-dependent electrical behavior further reveals the interplay between Coulomb scattering, defect-mediated scattering, and carrier freeze-out phenomena. Collectively, these considerations provide a roadmap for understanding how channel engineering, interface optimization, and strain modulation converge to determine transistor performance and set the stage for the detailed experimental analyses presented in the subsequent sections.
In this study, we present the fabrication of novel FDGOI transistors along with integrating materials characterization and electrical evaluation to reveal the complex interplay of channel thickness, strain, interface quality, and defect density. BG devices provide a simplified architecture for examining fundamental carrier transport and gate-channel coupling, whereas FG devices facilitate assessment of enhanced electrostatic control and realistic device operation. This dual-device strategy allows us to disentangle architecture-dependent effects from material- and process-induced variations. Ultrathin Ge layers were grown on Si wafers via reduced-pressure chemical vapor deposition (RPCVD) using a two-step process: low-temperature (LT) nucleation followed by high-temperature (HT) growth to suppress defects [48,49]. Channels were patterned and isolated using the buried oxide (BOX) layer as shallow trench isolation (STI), resulting in low-dislocation-density, uniformly strained Ge channels, as confirmed by high-resolution X-ray diffraction (HR-XRD). Temperature-dependent and strain-sensitive electrical measurements, combined with systematic interface characterization, enable a closed-loop optimization of channel thickness, interface quality, and gate design. By presenting both materials and device perspectives, this integrated approach establishes a direct link between high-quality GOI substrates and the superior electrical performance of the resulting transistors, while leaving specific experimental details and quantitative performance metrics to be revealed in the subsequent sections.

2. Experimental and Characterization Method

Ultrathin GOI substrates were fabricated using Si wafers as the starting templates. Ge was deposited using germane (GeH4, 10% diluted in H2) as the precursor. The heteroepitaxial growth of Ge on Si substrates was accomplished via a well-established two-step process. This method consists of an initial LT nucleation step at 450 °C, which forms a continuous layer despite containing a high defect density, followed by a HT growth step at 650 °C that substantially reduces the defect density through thermal annealing and recrystallization [50,51,52,53,54,55,56,57,58,59,60,61,62,63,64,65]. The resulting Ge/Si heterostructures were subsequently wafer-bonded onto thermally oxidized SiO2 handle wafers, after which the defective nucleation layer was selectively removed to obtain ~50 nm-thick Ge channels with reduced dislocation density. Uniform thinning was further achieved through rotational wet etching with precisely controlled etchant delivery, a critical step in producing highly uniform ultrathin GOI layers. HRXRD measurements [66,67] confirmed low dislocation density, uniform strain distribution, and high crystallinity, thereby establishing a reproducible high-mobility materials platform well suited for advanced device fabrication.
To evaluate carrier transport and gate-channel coupling, BG transistors were fabricated on separate GOI substrates (Figure 1a). Ultrathin Ge channels were patterned by plasma etching, with the BOX layer serving as shallow trench isolation for the source/drain (S/D) regions. Bottom-gate electrodes were formed by depositing 200 nm Ti on the wafer backside after buffered oxide etch (BOE). S/D contacts employed a Ni (200 nm)/TiN (5 nm) bilayer, followed by rapid thermal annealing at 400 °C for 60 s in flowing N2 to form low-resistance ohmic contacts [68,69,70,71,72,73,74,75,76]. This simplified BG configuration isolates gate-channel interactions, enabling direct assessment of intrinsic mobility and strain effects. FG transistors were fabricated on separate GOI substrates to investigate electrostatic control under practical device architectures (Figure 1b). P-type and n-type devices were realized by ion implantation, with boron implanted at 8 keV and a dose of 5 × 1014 cm−2 for p-type channels using a 10 nm sacrificial oxide mask. Plasma oxidation followed by deposition of an Al2O3 (30 nm)/TiN (10 nm)/Ni (75 nm) gate stack completed the FG structure, while S/D contacts were formed identically to the BG devices. Post-implantation annealing was performed under the same conditions as BG devices. This separation of BG and FG device fabrication ensures that observed performance differences are attributable to gate architecture rather than material or process variations. The device structures are shown in Figure 1c,d.
Electrical characterization of both BG and FG transistors was conducted across 30–300 K using an Agilent B1500 analyzer (from Keysight Technologies) integrated with a CRX-VF cryogenic probe system located in Malaysia. Transfer and output characteristics were measured to extract mobility, on/off current ratio, and subthreshold swing, while Hall effect measurements provided complementary evaluation of intrinsic transport. These results directly link material quality with device performance, enabling iterative optimization of Ge thickness, BOX interface quality, and gate design. The combined methodology demonstrates reproducible device fabrication, highlights the complementary roles of BG and FG architectures, and establishes a robust framework for advancing high-mobility GOI-based nanoscale electronics.

3. Results and Discussion

To establish a solid foundation for understanding the subsequent device behavior, the top Ge layer, which initially exceeded 100 nm after wafer bonding, was thinned to ~50 nm through precisely controlled wet etching [77,78,79]. The etchant consisted of To provide a comprehensive understanding of the factors governing GOI-based transistor performance, it is essential to consider the synergistic interplay among Ge layer thinning, strain redistribution, surface morphology, and threading dislocation density (TDD). Precise control of the thinning process establishes the foundational layer thickness while preserving crystalline integrity, whereas strain evolution induced by selective material removal modulates carrier effective mass and mobility. Concurrently, ultra-smooth surface morphology, with root-mean-square roughness on the sub-nanometer scale, minimizes interface scattering and contact resistance, and low TDD ensures minimal defect-mediated scattering, collectively enhancing reproducibility and electrical performance. In the following discussion, we systematically examine these interrelated factors-integrating insights from wet etching kinetics, HR-TEM and AFM analyses, X-ray diffraction, defect characterization, and temperature-dependent electrical measurements elucidate their combined influence on carrier transport and gate modulation. This integrated perspective not only establishes a solid foundation for interpreting the observed BG and FG transistor characteristics but also highlights wafer-scale strategies for optimizing etching parameters, thermal treatments, interface engineering, and layer design to achieve superior uniformity and high-performance GOI devices.ammonium hydroxide-hydrogen peroxide-deionized water (APM) mixtures, with volume ratios (NH4OH:H2O2:H2O) ranging from 1:4:2000 to 1:4:20. This systematically varied concentration range enabled quantitative assessment of etching kinetics, balancing oxidative Ge removal by H2O2 and dissolution of the resulting GeO2 by NH4OH, while ensuring minimal impact on the underlying SiO2 and Si layers [80,81,82,83,84]. The measured etch rate varied from ~0.05 nm/s at the most dilute condition to ~15 nm/s at the most concentrated condition, as summarized in Figure 2a, showing an approximately linear dependence on H2O2 concentration that allows nm-scale control of Ge thickness. High-resolution transmission electron microscopy (HR-TEM) was employed to assess structural integrity, interface quality, and uniformity of the thinned Ge layer. As shown in Figure 2b, the cross-sectional image clearly resolves the layered structure comprising the Si substrate, the oxide SiO2 layer and the top Ge layer (~50 nm). Atomically sharp interfaces and the absence of observable extended defects confirm that the optimized wet etching preserves crystalline quality and interfacial smoothness. Figure 2c presents an optical image of a fully processed 8-inch GOI wafer, exhibiting uniform Ge layer thickness and ultra-smooth surface morphology. These results highlight not only the reproducibility and scalability of the wafer bonding and thinning processes but also highlight their novelty in achieving ultrathin Ge with sub-nanometer precision, uniform strain, and wafer-scale smoothness. Moreover, the structural integrity confirmed by HR-TEM directly correlates with the high carrier mobility obtained in subsequent device measurements, establishing a direct materials-to-devices link.
While precise thickness control and interface preservation provide the initial framework for high-quality GOI substrates, the mechanical effects of thinning—particularly the redistribution of strain—play a critical role in modulating carrier mobility and thus require systematic investigation. During the etching-induced thinning process, selective removal of Ge perturbs the internal stress equilibrium, leading to wafer-scale strain redistribution. Quantitative evaluation of this effect was performed using laser interferometry in conjunction with the Stoney formula, allowing correlation of wafer bow (curvature) with biaxial stress changes. The crystalline quality of the thinned GOI layer was evaluated by high-resolution X-ray diffraction (HRXRD). Figure 3a shows the Ge (004) diffraction profiles of the Ge/Si substrate (before bonding), the GOI substrate (before etching), and after etching, represented by the black, blue, and red curves, respectively. It can be observed that the position of the Ge diffraction peak from the substrate shows no significant change before and after bonding. The narrowing of the Ge diffraction peak (i.e., the decrease in FWHM) along with the change in intensity after thinning can be attributed to the successful removal of the low-temperature Ge layer with a high density of defects, which thereby enhances the diffraction signal from the underlying high-quality Ge layer. In the Ge channel of transistors fabricated on the GOI substrate, the strain measured by NBD [85] is 1.75%, whereas the strain in the pure Ge substrate is zero. This result has been reported in Ref. [20]. This modest broadening arises from residual strain gradients and minor lattice distortions during thinning; nevertheless, the top Ge layer retains excellent crystallinity, ensuring minimal defect-mediated scattering. Surface morphology, particularly root-mean-square (RMS) roughness, is another key factor influencing carrier scattering at the source/drain contacts and channel interface. Atomic force microscopy (AFM) analysis (Figure 3b) reveals an ultra-smooth surface with RMS roughness of 0.262 nm over a 10 × 10 μm2 area and height variations confined within ±0.9 nm, comparable to atomically flat interfaces. Such atomically smooth surfaces minimize interface scattering and contact resistance, complementing the optimized strain profile and crystalline quality to further improve device performance. The uniform surface morphology across the wafer also reduces materials-to-device friction.
In addition to thickness and strain considerations, TDD and spatial distribution critically limit carrier transport, making quantitative defect analysis essential for reliable device performance. While prior studies have reported material characterization of GOI substrates, systematic evaluation of surface threading dislocations remains scarce. To address this, we employed a selective iodine-assisted etching method using a 49% HF:69% HNO3: CH3COOH mixture in a 5:10:11 volume ratio, supplemented with 30 mg of iodine [86]. In this formulation, HNO3 acts as a general oxidizer, iodine introduces reaction-controlled selectivity for specific crystallographic orientations, and HF dissolves the resulting GeOx, while acetic acid and water dilution fine-tune the etch rate to reveal dislocations without inducing additional surface damage. GOI samples were etched for few seconds, and nine randomly distributed regions (~107 × 143 μm2) were examined via metallurgical microscopy. Post-etch micrographs (Figure 4a) reveal dark etch pits, each corresponding to a threading dislocation. Statistical analysis (Figure 4b) indicates defect counts ranging from ~10 to ~120 per region, yielding a maximum TDD of ~105 cm−2, comparable to high-quality epitaxial Ge layers grown on Si [64,65]. Although a localized peak appears at region 7, the overall low density and relatively uniform distribution confirm the excellent crystalline quality of the thinned GOI layers. The low TDD is primarily attributed to two mechanisms: (i) high-temperature annealing during buffer and cap layer growth, effectively annihilating dislocations in underlying layers, and (ii) lattice matching between the low-temperature buffer and high-temperature Ge cap, suppressing the formation of new threading dislocations. These factors ensure minimal structural defects, supporting robust carrier transport, reduced scattering, and reliable transistor operation. Importantly, these defect analyses complement our previous observations of uniform thickness, ultra-smooth surface morphology, and controlled strain evolution, establishing a coherent framework linking material quality with device performance.
BG transistors were selected for this study due to their structural simplicity, well-defined interface properties, and strong gate controllability, making them ideal for probing the intrinsic electronic behavior of Ge channels while minimizing extrinsic effects from complex fabrication processes. Figure 5a shows the Ids-Vgs characteristics of a p-type Ge BG transistor with a gate width of 40 μm and a gate length of 10 μm at Vds = −1 V. The device exhibits an on/off current ratio (ION/IOFF) exceeding 105, demonstrating the effectiveness of substrate thinning in reducing defect density and suppressing leakage currents. The Ids-Vds characteristics are presented in Figure 5b, confirming strong gate-channel coupling despite the relatively thick buried oxide (~720 nm), which can be attributed to the high carrier mobility of Ge and enhanced modulation of the internal electric field in the thinned substrate. The field-effect mobility was extracted from the Ids-Vgs curve replotted as a function of surface carrier density (Ns), as shown in Figure 5c. The hole mobility exceeds 550 cm2/V·s, significantly higher than that of conventional Si-based devices. For comparison, several reference devices are included: a GOI junctionless transistor (JLT) with Na = 1019 cm−3 [46], another with Na = 1018 cm−3 [79], and a conventional Si pMOSFET with Nd = 6.6 × 1017 cm−3 [87]. The Ge BG transistor demonstrates superior mobility across all doping levels, particularly at high carrier concentrations, underscoring the potential of Ge for high-performance pMOSFET applications. These results highlight that substrate thinning combined with wafer bonding effectively preserves lattice integrity and minimizes defect density, providing an ideal platform for high-mobility Ge BG transistors. The high ION/IOFF ratio, strong gate control, and enhanced hole mobility collectively demonstrate the unique advantages of the thinned GOI substrate (Na = 1016 cm−3) over conventional silicon platforms.
Figure 6a,b presents the Ids-Vgs and Ids-Vds characteristics of a p-type FG GOI transistor with a gate width of 50 μm and a gate length of 4 μm. At Vds = −1 V, the device achieves an ION/IOFF ratio exceeding 105, indicating excellent crystalline quality and low defect density after substrate thinning and wafer bonding. These results further validate the structural integrity of the fabricated GOI substrates. The mobility characteristics of p-channel FG transistors with a fixed gate width (50 μm) and varying gate lengths are shown in Figure 6c. A clear increase in mobility with longer gate lengths is observed, which can be attributed [88]: (i) mitigation of velocity saturation; (ii) reduced impact of lateral electric fields on carrier transport. Meanwhile, Figure 6d reveals a monotonic decrease in gm with increasing gate length, indicating a gradual reduction in gate control efficiency. The shortest-channel device (L = 4 μm) achieves a maximum gm of 16.2 μS/μm, confirming the effectiveness of the FG structure in short-channel modulation. Compared with BG transistors, FG devices exhibit significantly stronger gate controllability, reflected by a much steeper subthreshold swing (SS) of 179 mV/dec, in contrast to 5370 mV/dec for BG devices. However, BG transistors retain fabrication simplicity and yield higher extracted hole mobility (~550 cm2/V·s vs. ~400 cm2/V·s for FG), highlighting an intrinsic trade-off between mobility enhancement and gate controllability. For a transistor with a constant gate width, the Ids decreases with increasing gate length due to the corresponding increase in series resistance. This is consistent with the charge carrier mobility extraction formalism [20], in which Ids scales inversely with L. As a result, the mobility extracted from devices with channel lengths between 10 and 50 μm remains remarkably stable. These results demonstrate that the FG structure provides excellent gate control and low-voltage operation, while the BG structure offers superior mobility and simplified processing. The complementary merits of both device types underscore the versatility of the GOI platform for advanced CMOS technology.
To provide valuable insights into the operational mechanisms and intrinsic electrical properties of GOI devices, temperature-dependent characteristics of transistors were analyzed. Insulating-layer substrates such as SOI and GOI are particularly prone to localized self-heating due to the low thermal conductivity of the buried oxide (BOX) layer compared with bulk Si [73], which necessitates a careful study of device performance across a wide temperature range. To investigate the cryogenic behavior of FG transistors, Ids–Vgs measurements were performed at a fixed drain bias of −1 V, chosen to mitigate carrier freeze-out effects and ensure accurate evaluation of intrinsic transport. As shown in Figure 7a, the ION/IOFF ratio increases to ~106 at low temperatures, primarily owing to the stronger temperature dependence of the OFF-state current. This trend is consistent with Shockley-Read-Hall (SRH) recombination theory, in which the leakage current scales as exp(Et/kT), where Et is the trap energy, k is the Boltzmann constant, and T is the absolute temperature [89]. The threshold voltage (Vt) can be expressed as:
V t T = V f b T + q [ W H C o x + 1 ε ( W H 2 H + W ) 2 ] × N a T
where the first term represents flat-band voltage variation and the second term accounts for incomplete ionization effects [90]. The temperature-dependent Vt is shown in Figure 7b (right axis). Across the temperature range of 30–300 K, the extracted slope of Vt variation with temperature is 1.87 mV/K, indicating excellent thermal stability. This relative invariance of Vt can be attributed to the combination of low channel doping (NA = 1016 cm−3) and the large lateral device dimensions (gate length of 50 μm), which effectively suppresses short-channel effects and mitigates thermally induced fluctuations. The temperature-dependent subthreshold slope (SS) is plotted in Figure 7b (left axis). The relative stability of Vt across the studied range can be attributed to the low channel doping concentration (Na = 1016 cm−3) and the large lateral device dimensions (50 μm gate length), which effectively suppress short-channel and thermal instabilities. The temperature-dependent SS exhibits a non-linear dependence on T due to the combined influence of Coulomb scattering and interface trap states. The SS can be expressed as follows:
S S = k T q q ln 10 C o x + C G e + q D i t C o x
where Cox is the gate-stack capacitance, CGe the Ge film capacitance [91].
Figure 7c shows the extracted ION/IOFF ratio and IOFF as functions of temperature (T) under Vds = −1 V. As illustrated in Figure 7b,c, within the temperature range of 90–300 K, device characteristics are predominantly governed by ionized-impurity scattering, resulting in consistent variations in the extracted parameters, including Vt, SS, ION/IOFF, and IOFF. The device exhibits an exceptionally low off-state current of 3.59 × 10−10 A, which in turn enables a remarkable enhancement of the ION/IOFF ratio from 2.2 × 105 to 3.2 × 106. Moreover, the subthreshold swing (SS) improves significantly with decreasing temperature, dropping from 179 mV/dec at 300 K to 137 mV/dec at 120 K. This electrical behavior originates primarily from improved carrier transport at low temperatures. On one hand, phonon and defect scattering are strongly suppressed, leading to enhanced carrier mobility; on the other hand, the low interface trap density (Dit) mitigates interface-assisted recombination and tunneling, thereby reducing leakage current and strengthening gate electrostatic control over the channel potential. Together, these mechanisms yield excellent off-state characteristics and sharp subthreshold slopes under cryogenic operation, providing critical physical insights for the design and optimization of high-performance, low-power electronic devices. However, the observed trap-related behavior can be attributed to three dominant sources: (i) point defects within the epitaxial Ge film, (ii) a thermodynamically unstable Ge/oxide interface characterized by a high density of Dit, and (iii) a defective boundary between the Ge channel and the BOX layer [92]. These scattering and charge fluctuation mechanisms account for the deviations from ideal linear temperature scaling. At cryogenic temperatures below 90 K, the physical mechanisms governing electrical behavior undergo a fundamental transition. Carrier freeze-out becomes dominant, thereby disrupting the conventional transport regimes valid at higher temperatures. Under these conditions, charge carriers no longer propagate through extended states but instead become localized in band-tail states [93,94]. As a consequence, transport proceeds predominantly via quantum-mechanical tunneling or hopping conduction, both of which exhibit highly complex and non-linear dependencies on temperature and electric field. Accordingly, critical device parameters such as Vt, SS, ION/IOFF, and IOFF show pronounced deviations from their high-temperature trends. To further advance device performance, reducing the interface trap density at the Ge/high-k dielectric interface remains essential. Potential strategies include optimizing atomic layer deposition (ALD) parameters, introducing effective interface passivation schemes, and employing engineered gate-stack architectures. Simultaneously, enhancing epitaxial Ge crystalline quality through the suppression of point defects and dislocations will improve carrier mobility. Moreover, as device dimensions continue to scale, suppressing gate leakage current becomes increasingly critical, necessitating the exploration of advanced gate engineering or alternative electrode materials.
Large-scale BG and FG transistors fabricated on high-quality GOI substrates were systematically investigated. We present a summary of the parameters of transistors with Si/Ge channels reported in recent years in Table 1. This approach minimizes short-channel effects interference, enabling a focused examination of intrinsic material and interface properties—despite diverging from device scaling trends. To highlight the innovation and performance benefits, we compared our results with recent GOI transistor studies, demonstrating notable improvements in both device metrics and physical understanding. Leveraging an optimized GOI substrate fabrication process, the BG and FG transistors developed herein exhibit high hole mobilities of 550 cm2/V·s and 400 cm2/V·s, respectively, representing state-of-the-art performance within their device category. Importantly, the transistors in this study employ a relatively large gate length of 50 μm—significantly exceeding the micro/nanoscale dimensions typically reported in the literature—and a relatively thick germanium channel. These design features effectively modulate carrier transport and strengthen gate control, thereby serving as critical determinants of overall device performance. In terms of electrical characteristics, the device with a channel W/L of 100 μm/500 μm fabricated on a GOI substrate via the smart-cut technology [95] demonstrates a higher on-state current (~1 mA) and a superior ION/IOFF ratio compared to its counterpart. Furthermore, when benchmarked against devices produced through the germanium condensation method [95], our structure achieves comparable ION/IOFF ratios yet offers markedly enhanced gate controllability and significantly improved IOFF stability. This improvement is largely attributed to the 50 nm back-channel thickness employed in our devices, which is substantially greater than the ~3.8 nm germanium channel layers used in condensation-based approaches, thereby effectively suppressing short-channel effects and mitigating drain-induced barrier lowering (DIBL). It is worth noting that the device reported in Ref. [96], transistors of identical dimensions fabricated on GOI substrates using wafer bonding, exhibit higher mobility. But exceptional electrical characteristics were achieved at the minimal gate width of 67 nm: an ION/IOFF ratio of 108 and a mobility of 1000 cm2/V·s, offering valuable insights for device scaling. Compared to the germanium condensation technique (W/L = 10/50 μm, Gm = 0.25 μS, SS = 500 mV/dec), the transistor fabricated by wafer bonding technology demonstrates superior gate controllability (Gm = 64.8 μS), evidenced by a significantly lower SS of 180 mV/dec. This performance advantage, combined with the inherent benefits of a simple, low-temperature process and excellent CMOS compatibility, underscores the competitiveness of this approach. Although not the highest, this ION/IOFF is sufficient for low-power applications: our devices provide adequate on-state current and low off-state leakage, and compared to comparable GOI transistors of similar dimensions ([95,97]), a combination of a comparable ION/IOFF (105), higher mobility (~300 cm2/V·s), and improved subthreshold swing (SS = 179 mV/dec) ensures effective switching and energy-efficient operation.
Despite the poorer SS and switching performance observed in our devices, the Ge channel demonstrates a significant advantage in carrier mobility over silicon. While Ge channel materials hold significant promise for post-silicon electronics due to their superior intrinsic carrier transport properties, they are also hampered by substantial integration challenges. On one hand, Ge offers dramatically higher bulk electron and hole mobility—approximately twice and four times that of silicon, respectively—enabling the potential for higher drive currents and faster switching speeds in future CMOS technology. This, coupled with its lower bandgap, also suggests a path toward lower operating voltages and reduced power consumption. On the other hand, these advantages are offset by critical drawbacks: the poor electronic quality and instability of native Ge oxides lead to high interface state densities, severely degrading channel mobility and increasing gate leakage. Furthermore, Ge’s narrow bandgap exacerbates junction leakage currents, and its high material cost and integration challenges with prevailing silicon-based manufacturing processes have thus far prevented its widespread commercialization. Consequently, current research focuses on mitigating these issues through advanced architectures like GOI, high-κ dielectric integration, and strain engineering within silicon-based platforms. By contrast, the performance gains in our study arise from two principal innovations: (i) the integration of wafer bonding with selective etch-back, which entirely avoids the crystal lattice damage typically induced by ion implantation and thereby substantially enhances channel crystallinity; (ii) precise oxidation of both BG and FG interfaces, which significantly reduces the interface trap density (Dit) and effectively suppresses gate leakage and off-state current increases. At Vgs = 1 V, the off-state current (IOFF) increases only slightly from 1.51 × 10−8 A at Vds = −0.1 V to 1.86 × 10−8 A at Vds = −1 V, demonstrating strong gate electrostatic control and indirectly indicating a low interface trap density (Dit). During substrate fabrication, a certain degree of intrinsic strain is inevitably introduced through the epitaxial growth process and the pressure exerted during wafer bonding. While this built-in strain yields moderate improvements in electrical performance, further optimization through intentional strain engineering is still required. Building on our current findings, future investigations into germanium-based device technologies should focus on the following directions: (I) Integration with complementary materials. Advancing interface passivation and bandgap engineering techniques will be essential for enabling seamless integration with photodetectors and other functional components; (II) Scaling and heterogeneous 3D integration. Wafer-scale bonding and selective epitaxial growth strategies should be pursued to realize vertically stacked device architectures that combine germanium channels with silicon CMOS platforms; (III) Sub-5 nm device scalability. Addressing short-channel effects through atomic-scale etching precision, strain engineering, and device geometry optimization will be critical for extending germanium technology into future technology nodes. These research directions collectively provide a roadmap for achieving high-performance, densely integrated post-Moore electronic systems.

4. Conclusions

In summary, this study successfully fabricated high-quality GOI substrates through wafer bonding and etch-back techniques, achieving an ultra-smooth surface with a root-mean-square (RMS) roughness of only 0.262 nm. Back-gate transistors fabricated on both GOI and GeSnOI substrates demonstrated excellent electrical performance, with germanium channel transistors exhibiting an ION/IOFF of ~105 and hole mobilities exceeding 550 cm2/V·s and 400 cm2/V·s, respectively. Systematic investigation of the transfer characteristics (Ids-Vgs) of GOI front-gate transistors across temperatures revealed the significant temperature dependence of the IOFF. In the low-temperature regime, charge transport was dominated by Coulomb scattering and neutral defect scattering at elevated temperatures. These findings not only elucidate the carrier scattering mechanisms in Ge-based transistors but also provide clear guidance for interface engineering and defect control, offering important insights for developing high-performance Ge-based CMOS devices in the post-Si era.

Author Contributions

Conceptualization, Y.R., Z.K. and H.H.R.; methodology, Y.R., Y.M. and H.H.R.; validation, Y.R., J.K., Y.Z. and H.H.R. formal analysis, Y.R., Y.M., R.L. and J.X., investigation, Y.R., H.L., B.L., J.S., J.D., X.D., T.D. and X.S., data curation, R.L. and J.X.; writing—original draft preparation Y.R., writing—review and editing H.H.R., Y.M. and X.Z.; visualization, H.H.R.; supervision, H.H.R. and T.Y. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Guangdong S&T Programme (Grant No. 2024B0101130001), and in part by the “Pearl River Talent Plan” Innovation and Entrepreneurship Team Project of Guangdong Province (Grant No. 2021ZT09X479).

Data Availability Statement

The data that support the findings of this study were available on request from the corresponding author. The data were not publicly available due to privacy or ethical restrictions.

Conflicts of Interest

Authors Yuhui Ren and Henry H. Radamson were employed by the company Guangzhou Noor Optoelectronic Technology Co., Ltd. And Authors Jiale Su, Hongxiao Lin, Xiangliang Duan, Tianyu Dong, Xueyin Su, Tianchun Ye and Henry H. Radamson were employed by the company Soi Micro Co., Ltd. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

References

  1. Radamson, H.H. CMOS past, present and future. In Chapter One: Basics of Metal–Oxide–Semiconductor Field-Effect Transistor (MOSFET); Woodhead Publishing: Cambridge, UK, 2018; pp. 1–17. ISBN 9780081021392. [Google Scholar] [CrossRef]
  2. International Roadmap for Devices and Systems. Available online: https://irds.ieee.org (accessed on 1 October 2025).
  3. Radamson, H.H.; Miao, Y.; Zhou, Z.; Wu, Z.; Kong, Z.; Gao, J.; Yang, H.; Ren, Y.; Zhang, Y.; Shi, J.; et al. CMOS scaling for the 5 nm node and beyond: Device, process and technology. Nanomaterials 2024, 14, 837. [Google Scholar] [CrossRef] [PubMed]
  4. Dong, L.; Li, P.; Zhao, Y.; Miao, Y.; Peng, B.; Xin, B.; Liu, W. First-principles study on the electronic properties of layered Ga2O3/TeO2 heterolayers for high-performance electronic devices. Appl. Surf. Sci. 2022, 602, 154382. [Google Scholar] [CrossRef]
  5. Wang, G.L.; Moeen, M.; Abedin, A.; Gudmundsson, V.; Kolahdouz, M.; Luo, J.; Zhao, C.; Li, J.; Liu, H.; Zhong, Z.X.; et al. Optimization of SiGe selective epitaxy for source/drain engineering in 22 nm node complementary metal-oxide semiconductor (CMOS). J. Appl. Phys. 2013, 114, 123511. [Google Scholar] [CrossRef]
  6. Radamson, H.H.; Joelsson, K.B.; Ni, W.-X.; Hultman, L.; Hansson, G.V. Characterization of highly boron-doped Si, Si1−xGex and Ge layers by high-resolution transmission electron microscopy. J. Cryst. Growth 1995, 157, 80–84. [Google Scholar] [CrossRef]
  7. Hu, C. Thin-body FinFET as scalable low voltage transistor. In Proceedings of the Technical Program of 2012 VLSI Technology, System and Application, Hsinchu, Taiwan, 23–25 April 2012; IEEE: Piscataway Township, NJ, USA, 2012; pp. 1–4. [Google Scholar]
  8. Wang, G.; Luo, J.; Qin, C.; Liang, R.; Xu, Y.; Liu, J.; Li, J.; Yin, H.; Yan, J.; Zhu, H.; et al. Integration of highly strained SiGe in source and drain with HK and MG for 22 nm bulk PMOS transistors. Nanoscale Res. Lett. 2017, 12, 123. [Google Scholar] [CrossRef]
  9. Lu, B.; Cui, Y.; Guo, A.; Wang, D.; Lv, Z.; Zhou, J.; Miao, Y. Characteristics of InAs/GaSb Line-Tunneling FETs with Buried Drain Technique. IEEE Trans. Electron Devices 2021, 68, 1537–1541. [Google Scholar] [CrossRef]
  10. Koliopoulou, S.; Dimitrakis, P.; Goustouridis, D.; Normand, P.; Pearson, C.; Petty, M.C.; Radamson, H.; Tsoukalas, D. Metal nano-floating gate memory devices fabricated at low temperature. Microelectron. Eng. 2006, 83, 1563–1566. [Google Scholar] [CrossRef]
  11. Liu, X.; Hu, H.; Wang, M.; Miao, Y.; Han, G.; Wang, B. Design and theoretical calculation of novel GeSn fully-depleted n-tunneling FET with quantum confinement model for suppression on GIDL effect. Superlattices Microstruct. 2018, 118, 266–274. [Google Scholar] [CrossRef]
  12. Hållstedt, J.; Hellström, P.-E.; Radamson, H.H. Sidewall transfer lithography for reliable fabrication of nanowires and deca-nanometer MOSFETs. Thin Solid Film. 2008, 517, 117–120. [Google Scholar] [CrossRef]
  13. Li, P.; Dong, L.; Li, C.; Lu, B.; Yang, C.; Peng, B.; Wang, W.; Miao, Y.; Liu, W. Indium doping-assisted monolayer Ga2O3 exfoliation for performance-enhanced MOSFETs. Nanoscale 2023, 15, 12105–12115. [Google Scholar] [CrossRef]
  14. Lu, B.; Wang, D.; Cui, Y.; Li, Z.; Chai, G.; Dong, L.; Zhou, J.; Wang, G.; Miao, Y.; Lv, Z.; et al. A Compact Model for Nanowire Tunneling-FETs. IEEE Trans. Electron Devices 2022, 69, 419–426. [Google Scholar] [CrossRef]
  15. Lu, B.; Chang, L.; Ahmed, S.; Wang, H.; Bell, S.; Yang, C.-Y.; Tabery, C.; Ho, C.; Xiang, Q.; King, T.-J.; et al. FinFET scaling to 10 nm gate length. In Proceedings of the IEEE International Electron Devices Meeting Technical Digest, San Francisco, CA, USA, 8–11 December 2002; pp. 251–254. [Google Scholar]
  16. Hållstedt, J.; Isheden, C.; Östling, M.; Baubinas, R.; Matukas, J.; Palenskis, V.; Radamson, H.H. Application of selective epitaxy for formation of ultra shallow SiGe-based junctions. Mater. Sci. Eng. B 2004, 114–115, 180–183. [Google Scholar] [CrossRef]
  17. Hållstedt, J.; Kolahdouz, M.; Ghandi, R.; Radamson, H.H.; Wise, R. Pattern dependency in selective epitaxy of B-doped SiGe layers for advanced metal oxide semiconductor field effect transistors. J. Appl. Phys. 2008, 103, 054907. [Google Scholar] [CrossRef]
  18. Grenouillet, L.; Liu, Q. UTBB FDSOI scaling enablers for the 10 nm node. In Proceedings of the 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Monterey, CA, USA, 7–10 October 2013. [Google Scholar]
  19. Kolahdouz, M.; Hållstedt, J.; Östling, M.; Wise, R.; Radamson, H.H. Selective Epitaxial Growth with Full Control of Pattern Dependency Behavior for pMOSFET Structures. J. Electrochem. Soc. 2009, 156, H169. [Google Scholar] [CrossRef]
  20. Ren, Y.; Ke, J.; Lin, H.; Zhao, X.; Kong, Z.; Liang, R.; Xu, J.; Lu, B.; Miao, Y.; Radamson, H.H. Fabrication and characterization of ferroelectric junctionless GOI and GeSnOI transistors for low-power applications. J. Mater. Sci. Mater. Electron. 2025, 36, 148. [Google Scholar] [CrossRef]
  21. Ohori, D.; Fujii, T.; Noda, S.; Mizubayashi, W.; Endo, K.; Lee, Y.-J.; Tarng, J.-H.; Li, Y.; Samukawa, S. High Electron Mobility Germanium FinFET Fabricated by Atomic Layer Defect-Free and Roughness-Free Etching. IEEE Open J. Nanotechnol. 2021, 2, 26–30. [Google Scholar] [CrossRef]
  22. El-Aassar, O.; Rebeiz, G.M. A Stacked-Complementary 5 GHz Oscillator with Even-Only Differential Harmonic Shaping Achieving −150 dBc/Hz Phase Noise at 10-MHz Offset Using Body-Biased Thin-Oxide 22-nm FDSOI. IEEE Solid-State Circuits Lett. 2020, 3, 98–101. [Google Scholar] [CrossRef]
  23. Li, Z.; Elash, C.; Jin, C.; Chen, L.; Wen, S.-J.; Fung, R.; Xing, J.; Shi, S.; Yang, Z.W.; Bhuva, B.L. Efficacy of Transistor Stacking on Flip-Flop SEU Performance at 22-nm FDSOI Node. IEEE Trans. Nucl. Sci. 2023, 70, 596–602. [Google Scholar] [CrossRef]
  24. Fenouillet-Beranger, C. Efficient multi Vt FDSOI technology with UTBOX for low power circuit design. In Symposium on VLSI Technology; IEEE: Piscataway Township, NJ, USA, 2010; pp. 65–66. [Google Scholar] [CrossRef]
  25. Skotnicki, T. Competitive SOC with UTBB SOI. In Proceedings of the Soi Conference, Tempe, AZ, USA, 3–6 October 2011; pp. 1–61. [Google Scholar] [CrossRef]
  26. Liu, Q.; Yagishita, A.; Loubet, N.; Khakifirooz, A.; Kulkarni, P.; Yamamoto, T.; Cheng, K.; Fujiwara, M.; Cai, J.; Dorman, D.; et al. Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22 nm node and beyond. In Proceedings of the 2010 Symposium on VLSI Technology, Honolulu, HI, USA, 15–17 June 2010; p. 61. [Google Scholar] [CrossRef]
  27. Fischer, A.C.; Belova, L.M.; Rikers, Y.G.M.; Malm, B.G.; Radamson, H.H.; Kolahdouz, M.; Gylfason, K.B.; Stemme, G.; Niklaus, F. 3D Free-Form Patterning of Silicon by Ion Implantation, Silicon Deposition, and Selective Silicon Etching. Adv. Funct. Mater. 2012, 22, 4004. [Google Scholar] [CrossRef]
  28. Grenouillet, L.; Gimbert, J. UTBB FDSOI transistors with dual STI and shrinked back gate architecture for a multi-VT strategy at 20 nm node and below. In Proceedings of the Technical Digest of International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2012; pp. 3.6.1–3.6.4. [Google Scholar] [CrossRef]
  29. Kim, S.; Park, H.; Choi, E.; Kim, Y.H.; Kim, D.; Shim, H.; Chung, S.; Jung, P. Reliability Assessment of 3 nm GAA Logic Technology Featuring Multi-Bridge-Channel FETs. In Proceedings of the 2023 IEEE International Reliability Physics Symposium (IRPS), Monterey, CA, USA, 26–30 March 2023; pp. 1–8. [Google Scholar] [CrossRef]
  30. Lu, B.; Wang, D.-W.; Chen, Y.-L.; Cui, Y.; Miao, Y.-H.; Dong, L.-P. Capacitance model for nanowire gate-all-around tunneling field-effect-transistors. Acta Phys. Sin. 2021, 70, 218501. [Google Scholar] [CrossRef]
  31. Weckx, P.; Ryckaert, J.; Litta, E.D.; Yakimets, D.; Matagne, P.; Schuddinck, P.; Jang, D.; Chehab, B.; Baert, R.; Gupta, M.; et al. Novel forksheet device architecture as ultimate logic scaling device towards 2 nm. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019; pp. 36.5.1–36.5.4. [Google Scholar] [CrossRef]
  32. Li, J.; Wang, W.; Li, Y.; Zhou, N.; Wang, G.; Kong, Z.; Fu, J.; Yin, X.; Li, C.; Wang, X.; et al. Study of selective isotropic etching Si1−xGex in process of nanowire transistors. J. Mater. Sci. Mater. Electron. 2020, 31, 134–143. [Google Scholar] [CrossRef]
  33. Chen, Y.-R.; Tu, C.-T.; Zhao, Z.; Liu, Y.-C.; Huang, B.-W.; Xing, Y.; Chen, G.-H.; Liu, C.W. Stacked Two Ge0.98Si0.02 Nanowire nFETs with High- κ Dielectrics Featuring High ION per Footprint of 4800 μA/μm at VOV = VDS = 0.5V. In Proceedings of the 2023 International VLSI Symposium on Technology, Systems and Applications (VLSI-TSA/VLSI-DAT), HsinChu, Taiwan, 17–20 April 2023; pp. 1–2. [Google Scholar] [CrossRef]
  34. Lin, H.; Zhao, X.; Luo, X.; Miao, Y.; Zhang, Y.; Kong, Z.; Wang, G.; Yang, H.; Wu, Z.; Shi, Y.; et al. High performance junctionless FDSOI SiGe channel p-FinFET with high ION/IOFF ratio and excellent SS. Mater. Sci. Semicond. Process. 2024, 171, 108007. [Google Scholar] [CrossRef]
  35. Zhang, Q.; Gu, J.; Xu, R.; Cao, L.; Li, J.; Wu, Z.; Wang, G.; Yao, J.; Zhang, Z.; Xiang, J.; et al. Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices. Nanomaterials 2021, 3, 646. [Google Scholar] [CrossRef] [PubMed]
  36. Wu, H.; Wu, W.; Si, M.; Ye, P.D. First demonstration of Ge nanowire CMOS circuits: Lowest SS of 64 mV/dec, highest gmax of 1057 μS/μm in Ge nFETs and highest maximum voltage gain of 54 V/V in Ge CMOS inverters. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; IEEE: Piscataway Township, NJ, USA, 2015; pp. 2.1.1–2.1.4. [Google Scholar]
  37. Gomez, L.; Chléirigh, C.N.; Hashemi, P.; Hoyt, J.L. Enhanced Hole Mobility in High Ge Content Asymmetrically Strained-SiGe p-MOSFETs. IEEE Electron Device Lett. 2010, 31, 782–784. [Google Scholar] [CrossRef]
  38. Ni, W.-X.; Ekberg, J.O.; Joelsson, K.B.; Radamson, H.H.; Henry, A.; Shen, G.-D.; Hansson, G.V. A silicon molecular beam epitaxy system dedicated to device-oriented material research. J. Cryst. Growth 1995, 157, 285–294. [Google Scholar] [CrossRef]
  39. Wan, P.; Zhang, B.; Ran, Y.; Zheng, S.; Li, Y.; Zhou, J.; Liang, J. Si–Ge Axial Heterojunction RFET with Enhanced On-State Current and Low Subthreshold Swing. IEEE Trans. Electron Devices 2025, 72, 2640–2646. [Google Scholar] [CrossRef]
  40. Radamson, H.H.; Noroozi, M.; Jamshidi, A.; Thompson, P.E.; Östling, M. Strain engineering in GeSnSi materials. ECS Trans. 2013, 50, 527. [Google Scholar] [CrossRef]
  41. Wirths, S.; Buca, D.; Mussler, G.; Tiedemann, A.T.; Holländer, B.; Bernardy, P.; Stoica, T.; Grutzmacher, T.; Mantl, S. Reduced pressure CVD growth of Ge and Ge1−xSnx alloys. ECS J. Solid State Sci. Tech. 2013, 2, N99. [Google Scholar] [CrossRef]
  42. Hassein-Bey, A.; Cristoloveanu, S. Simulation of Interface Coupling and Short-Channel Effects in Fully-Depleted Soi Mosfet’s. In Proceedings of the 1992 IEEE International SOI Conference, Ponte Vedra Beach, FL, USA, 6–8 October 1992; pp. 68–69. [Google Scholar] [CrossRef]
  43. Colinge, J.-P.; Lee, C.-W.; Afzalian, A.; Akhavan, N.D.; Yan, R.; Ferain, I.; Razavi, P.; O’Neill, B.; Blake, A.; White, M.; et al. Nanowire transistors without junctions. Nat. Nanotechnol. 2010, 5, 225–229. [Google Scholar] [CrossRef]
  44. Loo, R.; Shimura, Y.; Ike, S.; Vohra, A.; Stoica, T.; Stange, D.; Buca, D.; Kohen, D.; Margetis, J.; Tolle, J. Epitaxial GeSn: Impact of process conditions on material quality. Semicond. Sci. Technol. 2018, 33, 114010. [Google Scholar] [CrossRef]
  45. Grant, P.C.; Dou, W.; Alharthi, B.; Grant, J.M.; Tran, H.; Abernathy, G.; Mosleh, A.; Du, W.; Li, B.; Mortazavi, M.; et al. UHV-CVD Growth of High Quality GeSn Using SnCl4: From Growth Optimization to Prototype Devices. arXiv 2018, arXiv:1810.02523. [Google Scholar]
  46. Zhao, D.D.; Nishimura, T.; Lee, C.H.; Nagashio, K.; Kita, K.; Toriumi, A. Junctionless Ge p-channel metal–oxide–semiconductor field-effect transistors fabricated on ultrathin Ge-on-insulator substrate. Appl. Phys. Express 2011, 4, 031302. [Google Scholar] [CrossRef]
  47. Chou, C.P.; Lin, Y.X.; Huang, Y.K.; Chan, C.Y.; Wu, Y.H. Junctionless Poly-GeSn Ferroelectric TFTs with Improved Reliability by Interface Engineering for Neuromorphic Computing. ACS Appl. Mater. Interfaces 2019, 12, 1014–1023. [Google Scholar] [CrossRef] [PubMed]
  48. Chen, D.; Xue, Z.; Wei, X.; Wang, G.; Ye, L.; Zhang, M.; Wang, D.; Liu, S. Ultralow temperature ramping rate of LT to HT for the growth of high quality Ge epilayer on Si (100) by RPCVD. Appl. Surf. Sci. 2014, 299, 1–5. [Google Scholar] [CrossRef]
  49. Chong, H.; Wang, Z.; Chen, C.; Xu, Z.; Wu, K.; Wu, L.; Xu, B.; Ye, H. Optimization of hetero-epitaxial growth for the threading dislocation density reduction of germanium epilayers. J. Cryst. Growth 2018, 488, 8–15. [Google Scholar] [CrossRef]
  50. Kong, Z.; Wang, G.; Liang, R.; Su, J.; Xun, M.; Miao, Y.; Gu, S.; Li, J.; Cao, K.; Lin, H.; et al. Growth and strain modulation of GeSn alloys for photonic and electronic applications. Nanomaterials 2022, 12, 981. [Google Scholar] [CrossRef]
  51. Moto, K.; Yamamoto, K.; Imajo, T.; Suemasu, T.; Nakashima, H.; Toko, K. Sn Concentration Effects on Polycrystalline GeSn Thin Film Transistors. IEEE Electron. Device Lett. 2021, 42, 1735–1738. [Google Scholar] [CrossRef]
  52. Moto, K.; Yamamoto, K.; Imajo, T.; Suemasu, T.; Nakashima, H.; Toko, K. Polycrystalline thin-film transistors fabricated on high-mobility solid-phase-crystallized Ge on glass. Appl. Phys. Lett. 2019, 114, 212107. [Google Scholar] [CrossRef]
  53. Menon, C.; Bentzen, A.; Radamson, H.H. Loading effect in SiGe layers grown by dichlorosilane- and silane-based epitaxy Available to Purchase. J. Appl. Phys. 2001, 90, 4805–4809. [Google Scholar] [CrossRef]
  54. Kang, Y.; Xu, S.; Han, K.; Kong, E.Y.-J.; Song, Z.; Luo, S.; Kumar, A.; Wang, C.; Fan, W.; Liang, G.; et al. Ge0.95Sn0.05 Gate-All-Around p-Channel Metal-Oxide-Semiconductor Field-Effect Transistors with Sub-3 nm Nanowire Width. Nano Lett. 2021, 21, 5555–5563. [Google Scholar] [CrossRef] [PubMed]
  55. Vincent, B.; Gencarelli, F.; Bender, H.; Merckling, C.; Douhard, C.; Petersen, D.H.; Hansen, O.; Henrichsen, H.; Meersschaut, J.; Caymax, M.; et al. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition. Appl. Phys. Lett. 2011, 99, 152103. [Google Scholar] [CrossRef]
  56. Yang, J.; Hu, H.; Miao, Y.; Dong, L.; Wang, B.; Wang, W.; Su, H.; Xuan, R.; Zhang, H. High-quality GeSn layer with Sn composition up to 7% grown by low-temperature magnetron sputtering for optoelectronic application. Materials 2019, 12, 2662. [Google Scholar] [CrossRef]
  57. Radamson, H.H.; Kolahdouz, M. Selective epitaxy growth of Si1-xGex layers for MOSFETs and FinFET. J. Mater. Sci. Mater. Electron. 2015, 26, 4584–4603. [Google Scholar] [CrossRef]
  58. Margetis, J.; Mosleh, A.; Al-Kabi, S.; Ghetmiri, S.A.; Du, W.; Dou, W.; Benamara, M.; Li, B.; Mortazavi, M.; Naseem, H.A.; et al. Study of low-defect and strain-relaxed GeSn growth via reduced pressure CVD in H2 and N2 carrier gas. J. Cryst. Growth 2017, 463, 128–133. [Google Scholar] [CrossRef]
  59. Miao, Y.; Wang, Y.; Hu, H.; Liu, X.; Su, H.; Zhang, J.; Yang, J.; Tang, Z.; Wu, X.; Song, J.; et al. Characterization of crystalline GeSn layer on tensile-strained Ge buffer deposited by magnetron sputtering. Mater. Sci. Semicond. Process. 2018, 85, 134–140. [Google Scholar] [CrossRef]
  60. Du, Y.; Kong, Z.; Toprak, M.S.; Wang, G.; Miao, Y.; Xu, B.; Yu, J.; Li, B.; Lin, H.; Han, J.; et al. Investigation of the Heteroepitaxial Process Optimization of Ge Layers on Si (001) by RPCVD. Nanomaterials 2021, 11, 928. [Google Scholar] [CrossRef]
  61. Miao, Y.; Wang, G.; Kong, Z.; Xu, B.; Zhao, X.; Luo, X.; Lin, H.; Dong, Y.; Lu, B.; Dong, L.; et al. Review of Si-Based GeSn CVD Growth and Optoelectronic Applications. Nanomaterials 2021, 11, 2556. [Google Scholar] [CrossRef] [PubMed]
  62. Al-Kabi, S.; Ghetmiri, S.A.; Margetis, J.; Du, W.; Mosleh, A.; Dou, W.; Sun, G.; Soref, R.; Tolle, J.; Yu, S.Q.; et al. Study of High-Quality GeSn Alloys Grown by Chemical Vapor Deposition towards Mid-Infrared Applications. J. Electron. Mater. 2016, 45, 6251–6257. [Google Scholar] [CrossRef]
  63. Malta, P.D.; Posthill, B.J.; Markunas, J.R.; Humphreys, T.P. Low-defect-density germanium on silicon obtained by a novel growth phenomenon. Appl. Phys. Lett. 1992, 60, 844. [Google Scholar] [CrossRef]
  64. Kil, Y.-H.; Yang, J.-H.; Kang, S.; Kim, D.-J.; Jeong, T.S.; Choi, C.-J.; Kim, T.S.; Shim, K.-H. Growth of a Ge layer on 8in. Si (100) substrates by rapid thermal chemical vapor deposition. Mater. Sci. Semicond. Process. 2014, 21, 58–65. [Google Scholar] [CrossRef]
  65. Kil, Y.-H.; Yuk, S.-H.; Kim, J.H.; Kim, T.S.; Kim, Y.T.; Choi, C.-J.; Shim, K.-H. The low temperature epitaxy of Ge on Si (100) substrate using two different precursors of GeH4 and Ge2H6. Solid-State Electron. 2016, 124, 35–41. [Google Scholar] [CrossRef]
  66. Radamson, H.H. X-Ray Techniques. In Analytical Methods and Instruments for Micro- and Nanomaterials; Springer International Publishing: Berlin/Heidelberg, Germany, 2023; pp. 3–53. [Google Scholar] [CrossRef]
  67. Hansson, G.V.; Radamsson, H.H.; Ni, W.X. Strain and relaxation in Si-MBE structures studied by reciprocal space mapping using high resolution X-ray diffraction. J. Mater. Sci. Mater. Electron. 1995, 6, 292–297. [Google Scholar] [CrossRef]
  68. Nur, O.; Willander, M.; Radamson, H.H.; Sardela, M.R., Jr.; Hansson, G.V.; Petersson, C.S.; Maex, K. Strain characterization of CoSi2/n-Si0.9Ge0.1/p-Si heterostructures. Appl. Phys. Lett. 1994, 64, 440–442. [Google Scholar] [CrossRef]
  69. Stavitski, N.; van Dal, M.J.H.; Lauwers, A.; Vrancken, C.; Kovalgin, A.Y.; Wolters, R.A.M. Systematic TLM Measurements of NiSi and PtSi Specific Contact Resistance to n- and p-Type Si in a Broad Doping Range. IEEE Electron Device Lett. 2008, 29, 378–381. [Google Scholar] [CrossRef]
  70. Nur, O.; Willander, M.; Hultman, L.; Radamson, H.H.; Hansson, G.V.; Sardela, M.R., Jr.; Greene, J.E. CoSi2/Si1−xGex/Si(001) heterostructures formed through different reaction routes: Silicidation-induced strain relaxation, defect formation, and interlayer diffusion. J. Appl. Phys. 1995, 78, 7063. [Google Scholar] [CrossRef]
  71. Ha, J. The effect of carbon and germanium on phase transformation of nickel on Si1−x−yGexCy epitaxial layers. J. Appl. Phys. 2004, 95, 2397–2402. [Google Scholar] [CrossRef]
  72. Miyoshi, H.; Ueno, T.; Akiyama, K.; Hirota, Y.; Kaitsuka, T. In-Situ contact formation for ultra-low contact resistance NiGe using carrier activation enhancement (CAE) techniques for Ge CMOS. In Proceedings of the 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI, USA, 9–12 June 2014; pp. 1–2. [Google Scholar] [CrossRef]
  73. Hu, C.; Xu, P.; Fu, C.; Zhu, Z.; Gao, X.; Jamshidi, A.; Noroozi, M.; Radamson, H.; Wu, D.; Zhang, S.-L. Characterization of Ni(Si,Ge) films on epitaxial SiGe(100) formed by microwave annealing. Appl. Phys. Lett. 2012, 101, 092101. [Google Scholar] [CrossRef]
  74. Majumdar, K.; Vivekanand, S.; Huffman, C.; Matthews, K.; Ngai, T.; Chen, C.H.; Baek, R.H.; Loh, W.Y.; Rodgers, M.; Stamper, H.; et al. STLM: A Sidewall TLM Structure for Accurate Extraction of Ultralow Specific Contact Resistivity. IEEE Electron Device Lett. 2013, 34, 1082–1084. [Google Scholar] [CrossRef]
  75. Yang, J.; Hu, H.; Miao, Y.; Wang, B.; Wang, W.; Su, H.; Ma, Y. Single-crystalline GePb alloys formed by rapid thermal annealing-induced epitaxy. J. Phys. D Appl. Phys. 2020, 53, 265105. [Google Scholar] [CrossRef]
  76. Smith, C.E.; Adhikari, H.; Lee, S.-H.; Coss, B.; Parthasarathy, S.; Young, C.; Sassman, B.; Cruz, M.; Hobbs, C.; Majhi, P.; et al. Dual channel FinFETs as a single high-k/metal gate solution beyond 22 nm node. In Proceedings of the 2009 IEEE International Electron Devices Meeting (IEDM), Baltimore, MD, USA, 7–9 December 2009; pp. 1–4. [Google Scholar] [CrossRef]
  77. Xu, B.; Du, Y.; Wang, G.; Xiong, W.; Kong, Z.; Zhao, X.; Miao, Y.; Wang, Y.; Lin, H.; Su, J.; et al. Dual-Step Selective Homoepitaxy of Ge with Low Defect Density and Modulated Strain Based on Optimized Ge/Si Virtual Substrate. Materials 2022, 15, 3594. [Google Scholar] [CrossRef]
  78. Tang, X.; Reckinger, N.; Larrieu, G.; Dubois, E.; Flandre, D.; Raskin, J.-P.; Nysten, B.; Jonas, A.M.; Bayot, V. Characterization of ultrathin SOI film and application to short channel MOSFETs. Nanotechnology 2008, 19, 165703. [Google Scholar] [CrossRef]
  79. Sun, C.; Liang, R.; Wang, J.; Xu, J. Preparation of Ultrathin Germanium on Insulator Films Using a Wet Etching Process. ECS Solid State Lett. 2015, 4, P43. [Google Scholar] [CrossRef]
  80. Huygens, I.M.; Gomes, W.P.; Strubbe, K. Etching of germanium in hydrogenperoxide solutions. ECS Trans. 2007, 6, 375. [Google Scholar] [CrossRef]
  81. Onsia, B.; Conard, T.; De Gendt, S.; Heyns, M.M.; Hoflijk, I.; Mertens, P.W.; Meuris, M.; Raskin, G.; Sioncke, S.; Teerlinck, I.; et al. A Study of the Influence of Typical Wet Chemical Treatments on the Germanium Wafer Surface. Solid State Phenom. 2005, 103–104, 27–30. [Google Scholar] [CrossRef]
  82. Onsia, B.; Caymax, M.; Conard, T.; De Gendt, S.; De Smedt, F.; Delabie, A.; Gottschalk, C.; Heyns, M.M.; Green, M.; Lin, S.; et al. On the Application of a Thin Ozone Based Wet Chemical Oxide as an Interface for ALD High-k Deposition. Solid State Phenom. 2005, 103–104, 19–22. [Google Scholar] [CrossRef]
  83. Brunco, D.P.; De Jaeger, B.; Eneman, G.; Mitard, J.; Hellings, G.; Satta, A.; Terzieva, V.; Souriau, L.; Leys, F.E.; Pourtois, G.; et al. Germanium MOSFET devices: Advances in materials understanding, process development, and electrical performance. J. Electrochem. Soc. 2008, 155, H552. [Google Scholar] [CrossRef]
  84. Itano, M.; Kern, F.W.; Miyashita, M.; Ohmi, T. Particle removal from silicon wafer surface in wet cleaning process. IEEE Trans. Semicond. Manuf. 1993, 6, 258–267. [Google Scholar] [CrossRef]
  85. Radamson, H.H. CMOS past, present and future. In Chapter Three: Strain Engineering; Woodhead Publishing: Cambridge, UK, 2018; pp. 41–67. ISBN 9780081021392. [Google Scholar] [CrossRef]
  86. Kolbensen, B.O.; Claeys, C.; Stallhofer, P.; Tardif, F.; Benton, K.; Shaffner, T.J.; Schroder, D.; Kishino, S.; Rai-Choudhury, P. Analytical and Diagnostic Techniques for Semiconductor Materials, Devices, and Processes; The Electrochemical Society: San Francisco, CA, USA, 1999; pp. 173–185. [Google Scholar]
  87. Takagi, S.; Toriumi, A.; Iwase, M.; Tango, H. On the universality of inversion layer mobility in Si MOSFET’s: Part II-effects of surface orientation. IEEE Trans. Electron Devices 1994, 41, 2363–2368. [Google Scholar] [CrossRef]
  88. Takagi, S.; Toriumi, A.; Iwase, M.; Tango, H. On the universality of inversion layer mobility in Si MOSFET’s: Part I-effects of substrate impurity concentration. IEEE Trans. Electron Devices 1994, 41, 2357–2362. [Google Scholar] [CrossRef]
  89. Sun, C.; Liang, R.; Xiao, L.; Liu, L.; Xu, J.; Wang, J. Cryogenic Characteristics of Ge channel Junctionless Nanowire Transistors. In Proceedings of the 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM), Kobe, Japan, 13–16 March 2018; pp. 286–288. [Google Scholar] [CrossRef]
  90. Van Den Daele, W.; Augendre, E.; Le Royer, C.; Damlencourt, J.-F.; Grandchamp, B.; Cristoloveanu, S. Low-temperature characterization and modeling of advanced GeOI pMOSFETs: Mobility mechanisms and origin of the parasitic conduction. Solid-State Electron. 2010, 54, 205–212. [Google Scholar] [CrossRef]
  91. Miyawaki, A.; Hayashi, T.; Ghosh, P.; Tanemura, M.; Hayashi, Y.; Tokunaga, T. Low-temperature fabrication and characterization of ion-induced Ge nanostructures. In Proceedings of the 2010 3rd International Nanoelectronics Conference (INEC), Hong Kong, China, 3–8 January 2010; pp. 398–399. [Google Scholar] [CrossRef]
  92. Sun, C.; Liang, R.; Xiao, L.; Liu, L.; Xu, J.; Wang, J. Effect of traps and defects on high temperature performance of Ge channel junctionless nanowire transistors. AIP Adv. 2017, 7, 075009. [Google Scholar] [CrossRef]
  93. Zhang, Y.; Lu, T.; Wang, W.; Zhang, Y.; Xu, J.; Luo, C.; Guo, G. Characterization and Modeling of Native MOSFETs Down to 4.2 K. IEEE Trans. Electron Devices 2021, 68, 4267–4273. [Google Scholar] [CrossRef]
  94. Azarov, A.; Hallén, A.; Radamson, H.H. Electrical Characterization of Semiconductors: I–V, C–V and Hall Measurements. In Analytical Methods and Instruments for Micro- and Nanomaterials; Springer International Publishing: Berlin/Heidelberg, Germany, 2023; pp. 197–240. [Google Scholar] [CrossRef]
  95. Yu, X.; Kang, J.; Zhang, R.; Takenaka, M.; Takagi, S. Characterization of ultrathin-body Germanium-on-insulator (GeOI) structures and MOSFETs on flipped Smart-Cut™ GeOI substrates. Solid State Electron. 2016, 115, 120–125. [Google Scholar] [CrossRef]
  96. Zhu, H.; Luo, J.; Zhang, Q.; Yin, H.; Zhong, H.; Zhao, C. FinFETs on insulator with silicided source/drain. In Proceedings of the 2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA, USA, 16–19 October 2017; pp. 1–2. [Google Scholar] [CrossRef]
  97. Chen, C.T.; Yokogawa, R.; Toprasertpong, K.; Ogura, A.; Takenaka, M.; Takagi, S. Impact of asymmetric strain on performance of extremely-thin body (100) GOI and (110) SGOI pMOSFETs. In Proceedings of the 2021 Symposium on VLSI Technology, Kyoto, Japan, 13–19 June 2021; pp. 1–2. [Google Scholar]
  98. Mao, S.; Gao, J.; He, X.; Liu, W.; Zhou, N.; Luo, Y.; Cao, L.; Hu, Y.; Zhang, Y.; Liu, J.; et al. Low-temperature (≤ 550 °C) pchannel Schottky barrier SOI FinFETs for monolithic 3D integration. Microelectron. Eng. 2022, 260, 111805. [Google Scholar] [CrossRef]
  99. Jo, K.-W.; Kim, W.-K.; Takenaka, M.; Takagi, S. Hole Mobility Boosters of (110)-Oriented Extremely Thin Body SiGe-on- Insulator (SGOI) pMOSFETs. IEEE Trans. Electron Devices 2023, 70, 3963–3969. [Google Scholar] [CrossRef]
Figure 1. Process flow and device structures: (a) fabrication flow of the BG transistor, (b) fabrication flow of the FG transistor, (c) structural schematic of the back-gate transistor, and (d) structural schematic of the FG transistor.
Figure 1. Process flow and device structures: (a) fabrication flow of the BG transistor, (b) fabrication flow of the FG transistor, (c) structural schematic of the back-gate transistor, and (d) structural schematic of the FG transistor.
Electronics 14 04646 g001
Figure 2. (a) Ge etching rate as a function of APM solution concentration, showing tunable thinning behavior. (b) HR-TEM cross-section of the GOI substrate, confirming sharp interfaces and a ~50 nm defect-free Ge layer. (c) Photograph of an 8-inch GOI wafer, demonstrating wafer-scale thickness uniformity and smooth surface morphology.
Figure 2. (a) Ge etching rate as a function of APM solution concentration, showing tunable thinning behavior. (b) HR-TEM cross-section of the GOI substrate, confirming sharp interfaces and a ~50 nm defect-free Ge layer. (c) Photograph of an 8-inch GOI wafer, demonstrating wafer-scale thickness uniformity and smooth surface morphology.
Electronics 14 04646 g002
Figure 3. (a) High-resolution X-ray diffraction (HRXRD) on Ge/Si and GOI substrates before and after thinning, showing the Ge (004) peak. (b) Atomic force microscopy (AFM) image of the GOI surface, revealing a root-mean-square (RMS) roughness of 0.262 nm over a 10 × 10 μm2 area.
Figure 3. (a) High-resolution X-ray diffraction (HRXRD) on Ge/Si and GOI substrates before and after thinning, showing the Ge (004) peak. (b) Atomic force microscopy (AFM) image of the GOI surface, revealing a root-mean-square (RMS) roughness of 0.262 nm over a 10 × 10 μm2 area.
Electronics 14 04646 g003
Figure 4. (a) Optical micrographs of GOI substrates after selective etching, revealing dark etch pits corresponding to threading dislocations. (b) Statistical distribution of etch pit counts across nine regions, indicating a maximum TDD of ~105 cm−2 and confirming high crystalline quality and uniformity.
Figure 4. (a) Optical micrographs of GOI substrates after selective etching, revealing dark etch pits corresponding to threading dislocations. (b) Statistical distribution of etch pit counts across nine regions, indicating a maximum TDD of ~105 cm−2 and confirming high crystalline quality and uniformity.
Electronics 14 04646 g004
Figure 5. (a) Room temperature Ids-Vgs characteristics of the p-type Ge BG transistor, showing ION/IOFF > 105; (b) room temperature Ids-Vds curves of the same device, demonstrating strong gate control despite thick buried oxide; (c) A comparison of the field-effect hole mobility (as a function of surface carrier density) with GOI JTL (Na = 1019 cm−3 [46] (black line), Na = 1018 cm−3 [79] (green line)) and a Si pMOSFET [87] (red line) underscores the significant mobility enhancement of our device.
Figure 5. (a) Room temperature Ids-Vgs characteristics of the p-type Ge BG transistor, showing ION/IOFF > 105; (b) room temperature Ids-Vds curves of the same device, demonstrating strong gate control despite thick buried oxide; (c) A comparison of the field-effect hole mobility (as a function of surface carrier density) with GOI JTL (Na = 1019 cm−3 [46] (black line), Na = 1018 cm−3 [79] (green line)) and a Si pMOSFET [87] (red line) underscores the significant mobility enhancement of our device.
Electronics 14 04646 g005
Figure 6. (a) Room temperature Ids-Vgs characteristics of the p-type Ge channel FG transistor; (b) Room temperature Ids-Vds characteristics of the FG transistor at Vgs = 0.25 V to −2 V; (c) Field-effect mobility of the FG transistor with gate width W = 50 μm and gate lengths L = 4–50 μm; (d) transconductance (gm) versus gate length for the FG transistor, illustrating the impact of channel length on gate control efficiency.
Figure 6. (a) Room temperature Ids-Vgs characteristics of the p-type Ge channel FG transistor; (b) Room temperature Ids-Vds characteristics of the FG transistor at Vgs = 0.25 V to −2 V; (c) Field-effect mobility of the FG transistor with gate width W = 50 μm and gate lengths L = 4–50 μm; (d) transconductance (gm) versus gate length for the FG transistor, illustrating the impact of channel length on gate control efficiency.
Electronics 14 04646 g006
Figure 7. (a) Temperature-dependent Ids-Vgs characteristics of the FG transistor at cryogenic temperatures ranging from 30 to 300 K, (b) temperature-dependent SS (left axis) and Vt (right axis) of FG transistor at Vds = −1 V, (c) temperature-dependent of FG transistor ION/IOFF (left axis) and IOFF (right axis).
Figure 7. (a) Temperature-dependent Ids-Vgs characteristics of the FG transistor at cryogenic temperatures ranging from 30 to 300 K, (b) temperature-dependent SS (left axis) and Vt (right axis) of FG transistor at Vds = −1 V, (c) temperature-dependent of FG transistor ION/IOFF (left axis) and IOFF (right axis).
Electronics 14 04646 g007
Table 1. Summary of extraction parameters for the transistor in recent years.
Table 1. Summary of extraction parameters for the transistor in recent years.
YearTypeW/L (μm)SS (mV/dec)ION/IOFFμeff (cm2/V·s)Ref.
2016p-Ge-BG100/500——104350[95]
2017p-Si-FG0.015/0.0279105——[96]
2021p-Ge-BG10/100500105300[97]
2022p-Si-FG0.033/0.561105——[98]
2023p-GeSi-BG100/1006000107380[99]
2023p-SiGe-FG0.025/0.586106——[34]
2024p-Ge-FG10/5037.7104300[20]
2025p-Ge-BG40/105370105550This work
2025p-Ge-FG50/4179105400This work
1. “—” indicates data not available in the original reference. 2. Abbreviations: p-Ge-BG = pMOS Ge-channel back-gate; p-Ge-FG = pMOS Ge-channel front-gate.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Ren, Y.; Su, J.; Ke, J.; Lin, H.; Li, B.; Kong, Z.; Zhang, Y.; Du, J.; Liang, R.; Xu, J.; et al. Fabrication and Characterization of Back-Gate and Front-Gate Ge-on-Insulator Transistors for Low-Power Applications. Electronics 2025, 14, 4646. https://doi.org/10.3390/electronics14234646

AMA Style

Ren Y, Su J, Ke J, Lin H, Li B, Kong Z, Zhang Y, Du J, Liang R, Xu J, et al. Fabrication and Characterization of Back-Gate and Front-Gate Ge-on-Insulator Transistors for Low-Power Applications. Electronics. 2025; 14(23):4646. https://doi.org/10.3390/electronics14234646

Chicago/Turabian Style

Ren, Yuhui, Jiale Su, Jiahan Ke, Hongxiao Lin, Ben Li, Zhenzhen Kong, Yiwen Zhang, Junhao Du, Renrong Liang, Jun Xu, and et al. 2025. "Fabrication and Characterization of Back-Gate and Front-Gate Ge-on-Insulator Transistors for Low-Power Applications" Electronics 14, no. 23: 4646. https://doi.org/10.3390/electronics14234646

APA Style

Ren, Y., Su, J., Ke, J., Lin, H., Li, B., Kong, Z., Zhang, Y., Du, J., Liang, R., Xu, J., Duan, X., Dong, T., Su, X., Ye, T., Zhao, X., Miao, Y., & Radamson, H. H. (2025). Fabrication and Characterization of Back-Gate and Front-Gate Ge-on-Insulator Transistors for Low-Power Applications. Electronics, 14(23), 4646. https://doi.org/10.3390/electronics14234646

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop