Feasibility Prediction for Rapid IC Design Space Exploration
Abstract
:1. Introduction
1.1. Motivation
1.2. Related Work
1.3. Contributions
- To the best of the author’s knowledge, this is the first-ever approach to improving synthesis tool performance by combining ensemble learning algorithms and a greedy approach.
- To the best of the author’s knowledge, this is the first-ever approach to guide inexperienced designers with efficient design choices to reduce the precious design time.
- This research collected 74 design features from layouts and identified the design constraints those influence DRC violations.
2. Background
3. Proposed Methodology
Algorithm 1 Optimal design cost algorithm |
|
Algorithm 2 Iterative greedy search algorithm |
|
4. Experimental Results and Discussion
4.1. Experimental Setup
4.2. Results
4.3. Discussion
5. Conclusions
Funding
Institutional Review Board Statement
Informed Consent Statement
Data Availability Statement
Acknowledgments
Conflicts of Interest
References
- Bahar, R.; Jones, A.K.; Katkoori, S.; Madden, P.H.; Marculescu, D.; Markov, I.L. Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond. arXiv 2020, arXiv:2005.01588. [Google Scholar]
- Sinha, S.; Xu, X.; Bhargava, M.; Das, S.; Cline, B.; Yeric, G. Stack up your chips: Betting on 3D integration to augment Moore’s Law scaling. arXiv 2020, arXiv:2005.10866. [Google Scholar]
- Lopera, D.S.; Servadei, L.; Kiprit, G.N.; Hazra, S.; Wille, R.; Ecker, W. A Survey of Graph Neural Networks for Electronic Design Automation. In Proceedings of the 2021 ACM/IEEE 3rd Workshop on Machine Learning for CAD (MLCAD), Raleigh, NC, USA, 30 August–3 September 2021; pp. 1–6. [Google Scholar]
- Ji, Z.; Chen, H.; Li, X. Design for reliability with the advanced integrated circuit (IC) technology: Challenges and opportunities. Sci. China Inf. Sci. 2019, 62, 1–4. [Google Scholar] [CrossRef] [Green Version]
- Hou, C. 1.1 A smart design paradigm for smart chips. In Proceedings of the 2017 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 5–9 February 2017; pp. 8–13. [Google Scholar]
- Chan, W.T.; Ho, P.H.; Kahng, A.; Saxena, P. Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning. In Proceedings of the 2017 ACM on International Symposium on Physical Design, Portland, OR, USA, 19–22 March 2017; pp. 15–21. [Google Scholar]
- Taraate, V. ASIC Design Flow. In ASIC Design and Synthesis; Springer: Berlin/Heidelberg, Germany, 2021; pp. 13–26. [Google Scholar]
- Han, K.; Kahng, A.B.; Lee, H. Evaluation of BEOL design rule impacts using an optimal ILP-based detailed router. In Proceedings of the ACM/EDAC/IEEE Design Automation Conference, San Francisco, CA, USA, 8–12 June 2015; pp. 1–6. [Google Scholar]
- Mirhoseini, A.; Goldie, A.; Yazgan, M.; Jiang, J.; Songhori, E.; Wang, S.; Lee, Y.-J.; Johnson, E.; Pathak, O.; Bae, S.; et al. Chip Placement with Deep Reinforcement Learning. arXiv 2020, arXiv:cs.LG/2004.10746. [Google Scholar]
- Li, X.; Ma, Z.; Zheng, J.; Liu, Y.; Zhu, L.; Zhou, N. An Effective Edge-Assisted Data Collection Approach for Critical Events in the SDWSN-Based Agricultural Internet of Things. Electronics 2020, 9, 907. [Google Scholar] [CrossRef]
- Mohammad, A.S.; Pradhan, M.R. Machine learning with big data analytics for cloud security. Comput. Electr. Eng. 2021, 96, 107527. [Google Scholar] [CrossRef]
- Ferianc, M.; Fan, H.; Manocha, D.; Zhou, H.; Liu, S.; Niu, X.; Luk, W. Improving Performance Estimation for Design Space Exploration for Convolutional Neural Network Accelerators. Electronics 2021, 10, 520. [Google Scholar] [CrossRef]
- Taghavi, T.; Li, Z.; Alpert, C.; Nam, G.; Huber, A.; Ramji, S. New placement prediction and mitigation techniques for local routing congestion. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, 7–11 November 2010; pp. 621–624. [Google Scholar]
- Qi, Z.; Cai, Y.; Zhou, Q. Accurate prediction of detailed routing congestion using supervised data learning. In Proceedings of the IEEE International Conference on Computer Design (ICCD), Seoul, Korea, 19–22 October 2014; pp. 97–103. [Google Scholar]
- Islam, R.; Guthaus, M.R. HCDN: Hybrid-Mode Clock Distribution Networks. IEEE Trans. Circuits Syst. I Regul. Pap. 2019, 66, 251–262. [Google Scholar] [CrossRef]
- Kahng, A.B.; Kumar, S.; Shah, T. A No-Human-in-the-Loop Methodology Toward Optimal Utilization of EDA Tools and Flows. In Proceedings of the ACM/EDAC/IEEE Design Automation Conference, San Francisco, CA, USA, 24–29 June 2018; pp. 1–6. [Google Scholar]
- Li, L.; Cai, Y.; Zhou, Q. An Efficient Approach for DRC Hotspot Prediction with Convolutional Neural Network. In Proceedings of the IEEE International Symposium on Circuits and Systems, Daegu, Korea, 22–28 May 2021; pp. 1–5. [Google Scholar] [CrossRef]
- Liang, R.; Xiang, H.; Pandey, D.; Reddy, L.; Ramji, S.; Nam, G.J.; Hu, J. DRC Hotspot Prediction at Sub-10 nm Process Nodes Using Customized Convolutional Network; Association for Computing Machinery: New York, NY, USA, 2020; pp. 135–142. [Google Scholar]
- Tabrizi, A.F.; Darav, N.K.; Rakai, L.; Kennings, A.; Behjat, L. Detailed routing violation prediction during placement using machine learning. In Proceedings of the International Symposium on VLSI Design, Automation and Test, Hsinchu, Taiwan, 24–27 April 2017; pp. 1–4. [Google Scholar]
- Givargis, T.; Vahid, F.; Henkel, J. System-level exploration for pareto-optimal configurations in parameterized systems-on-a-chip. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No. 01CH37281), San Jose, CA, USA, 4–8 November 2001; pp. 25–30. [Google Scholar]
- Palesi, M.; Givargis, T. Multi-objective design space exploration using genetic algorithms. In Proceedings of the Tenth International Symposium on Hardware/Software Codesign, Estes Park, CO, USA, 8 May 2002; pp. 67–72. [Google Scholar]
- Barbareschi, M.; Barone, S.; Mazzocca, N. Advancing synthesis of decision tree-based multiple classifier systems: An approximate computing case study. Knowl. Inf. Syst. 2021, 63, 1577–1596. [Google Scholar] [CrossRef]
- Qamar, A.; Muslim, F.B.; Iqbal, J.; Lavagno, L. LP-HLS: Automatic power-intent generation for high-level synthesis based hardware implementation flow. Microprocess. Microsyst. 2017, 50, 26–38. [Google Scholar] [CrossRef] [Green Version]
- Muslim, F.B.; Qamar, A.; Lavagno, L. Low power methodology for an ASIC design flow based on high-level synthesis. In Proceedings of the 2015 23rd International Conference on Software, Telecommunications and Computer Networks (SoftCOM), Split, Croatia, 16–18 September 2015; pp. 11–15. [Google Scholar]
- Liu, H.Y.; Carloni, L.P. On learning-based methods for design-space exploration with high-level synthesis. In Proceedings of the 50th Annual Design Automation Conference, Austin, TX, USA, 29 May 2013; pp. 1–7. [Google Scholar]
- Ravi, S.; Joseph, M. High-level test synthesis: A survey from synthesis process flow perspective. ACM Trans. Des. Autom. Electron. Syst. (TODAES) 2014, 19, 1–27. [Google Scholar] [CrossRef]
- Cong, J. From design to design automation. In Proceedings of the 2014 on International Symposium on Physical Design, Petaluma, CA, USA, 30 March–2 April 2014; pp. 121–126. [Google Scholar]
- Deshwal, A.; Jayakodi, N.K.; Joardar, B.K.; Doppa, J.R.; Pande, P.P. MOOS: A Multi-Objective Design Space Exploration and Optimization Framework for NoC Enabled Manycore Systems. ACM Trans. Embed. Comput. Syst. 2019, 18, 1–23. [Google Scholar] [CrossRef]
- Zaki, M.H.; Tahar, S.; Bois, G. Formal verification of analog and mixed signal designs: A survey. Microelectron. J. 2008, 39, 1395–1404. [Google Scholar] [CrossRef] [Green Version]
- Kahng, A.B. New directions for learning-based IC design tools and methodologies. In Proceedings of the 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), Jeju, Korea, 22–25 January 2018; pp. 405–410. [Google Scholar] [CrossRef]
- Kahng, A.B. Reducing time and effort in IC implementation: A roadmap of challenges and solutions. In Proceedings of the 55th Annual Design Automation Conference, San Francisco, CA, USA, 24–29 June 2018; pp. 1–6. [Google Scholar]
- Ren, H. Toward Intelligent Physical Design: Deep Learning and GPU Acceleration. In Proceedings of the 2019 International Symposium on Physical Design, San Francisco, CA, USA, 14–17 April 2019; pp. 91–92. [Google Scholar]
- Kahng, A.B.; Lienig, J.; Markov, I.L.; Hu, J. VLSI Physical Design: From Graph Partitioning to Timing Closure; Springer Science & Business Media: Berlin/Heidelberg, Germany, 2011. [Google Scholar]
- Islam, R.; Shahjalal, M.A. Soft Voting-Based Ensemble Approach to Predict Early Stage DRC Violations. In Proceedings of the 2019 IEEE 62nd International Midwest Symposium on Circuits and Systems (MWSCAS), Dallas, TX, USA, 4–7 August 2019; pp. 1081–1084. [Google Scholar] [CrossRef]
- Somvanshi, M.; Chavan, P.; Tambade, S.; Shinde, S.V. A review of machine learning techniques using decision tree and support vector machine. In Proceedings of the 2016 International Conference on Computing Communication Control and automation (ICCUBEA), Pune, India, 12–13 August 2016; pp. 1–7. [Google Scholar] [CrossRef]
- Islam, R.; Shahjalal, M.A. Predicting DRC Violations Using Ensemble Random Forest Algorithm. In Proceedings of the Annual Design Automation Conference 2019, Las Vegas, NV, USA, 2–6 June 2019; ACM: New York, NY, USA, 2019; pp. 1–2. [Google Scholar]
- Onan, A.; Korukoğlu, S.; Bulut, H. A multiobjective weighted voting ensemble classifier based on differential evolution algorithm for text sentiment classification. Expert Syst. Appl. 2016, 62, 1–16. [Google Scholar] [CrossRef]
- OpenCores: Open Source IP-Cores. Available online: http://www.opencores.org (accessed on 10 November 2018).
Type | CU | CU | AR | AR | TA (m) | TA (m) | CP (ns) | CP (ns) | # of DRC | # of DRC | TWL (m) | TWL (m) |
---|---|---|---|---|---|---|---|---|---|---|---|---|
(Predicted) | (Actual) | (Predicted) | (Actual) | (Predicted) | (Actual) | (Predicted) | (Actual) | (Predicted) | (Actual) | (Predicted) | (Actual) | |
baseline | 0.80 | 0.80 | 1.00 | 1.00 | 355.777.23 | 355.777.23 | 0.75 | 0.75 | 320 | 368 | 2,374,846.70 | 2,374,846.70 |
proposed | 0.90 | 0.90 | 0.50 | 0.50 | 336,415.23 | 336,415.23 | 0.80 | 0.80 | . 41 | 89 | 2,040,688.31 | 2,040,688.31 |
baseline | 0.80 | 0.80 | 0.50 | 0.50 | 307,640.32 | 307,640.32 | 0.70 | 0.70 | 167 | 127 | 2,050,751.55 | 2,050,751.55 |
proposed | 0.60 | 0.60 | 1.00 | 1.00 | 310,037.22 | 310,037.22 | 1.00 | 1.00 | 10 | 27 | 2,328,562.86 | 2,328,562.86 |
baseline | 0.70 | 0.70 | 1.17 | 1.17 | 5111.52 | 5112.64 | 0.74 | 0.50 | 0 | 0 | 19,698.92 | 19,698.92 |
proposed | 0.99 | 0.99 | 0.48 | 0.48 | 4634.52 | 4636.42 | 0.90 | 0.90 | 8 | 8 | 18,114.38 | 18,114.38 |
baseline | 0.70 | 0.70 | 1.17 | 1.17 | 5112.64 | 5112.64 | 0.74 | 0.70 | 0 | 0 | 19,698.92 | 19,698.92 |
proposed | 0.56 | 0.56 | 1.73 | 1.73 | 4678.47 | 4678.47 | 0.50 | 0.50 | 8 | 8 | 21,622.39 | 21,622.39 |
baseline | 1.00 | 1.00 | 1.50 | 1.50 | 313,209.11 | 313,209.11 | 0.90 | 0.90 | 1398 | 1969 | 1,957,889.80 | 1,957,889.80 |
proposed | 0.80 | 0.80 | 0.50 | 0.50 | 336,415.23 | 336,415.23 | 0.70 | 0.70 | 32 | 32 | 2,120,044.18 | 2,120,044.18 |
baseline | 0.80 | 0.80 | 0.50 | 0.50 | 6306.32 | 6306.32 | 0.80 | 0.80 | 1 | 0 | 25,689.45 | 25,689.45 |
proposed | 0.71 | 0.71 | 0.49 | 0.49 | 4636.42 | 4636.42 | 0.65 | 0.65 | 8 | 9 | 21,005.49 | 21,005.49 |
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations. |
© 2022 by the author. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Islam, R. Feasibility Prediction for Rapid IC Design Space Exploration. Electronics 2022, 11, 1161. https://doi.org/10.3390/electronics11071161
Islam R. Feasibility Prediction for Rapid IC Design Space Exploration. Electronics. 2022; 11(7):1161. https://doi.org/10.3390/electronics11071161
Chicago/Turabian StyleIslam, Riadul. 2022. "Feasibility Prediction for Rapid IC Design Space Exploration" Electronics 11, no. 7: 1161. https://doi.org/10.3390/electronics11071161
APA StyleIslam, R. (2022). Feasibility Prediction for Rapid IC Design Space Exploration. Electronics, 11(7), 1161. https://doi.org/10.3390/electronics11071161