Next Article in Journal
Unsupervised Anomaly Detection in Printed Circuit Boards through Student–Teacher Feature Pyramid Matching
Next Article in Special Issue
Complex Oscillations of Chua Corsage Memristor with Two Symmetrical Locally Active Domains
Previous Article in Journal
Smart Manufacturing and Tactile Internet Based on 5G in Industry 4.0: Challenges, Applications and New Trends
Previous Article in Special Issue
Memcapacitor and Meminductor Circuit Emulators: A Review
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Memristive System Based Image Processing Technology: A Review and Perspective

1
College of Electrical Engineering, Zhejiang University, Hangzhou 310027, China
2
College of Electronic Information, Hangzhou Dianzi University, Hangzhou 310018, China
3
Zhejiang Provincial Key Lab of Equipment Electronics, Hangzhou 310018, China
4
College of Artificial Intelligence, Southwest University, Chongqing 400715, China
5
Department of Electronic and Electrical Engineering, Brunel University London, London UB8 3PH, UK
6
Department of Electrical Engineering, School of Automation, Guangdong University of Technology, Guangzhou 510006, China
*
Authors to whom correspondence should be addressed.
Electronics 2021, 10(24), 3176; https://doi.org/10.3390/electronics10243176
Submission received: 6 November 2021 / Revised: 14 December 2021 / Accepted: 15 December 2021 / Published: 20 December 2021
(This article belongs to the Special Issue Memristive Devices and Systems: Modelling, Properties & Applications)

Abstract

:
As the acquisition, transmission, storage and conversion of images become more efficient, image data are increasing explosively. At the same time, the limitations of conventional computational processing systems based on the Von Neumann architecture continue to emerge, and thus, improving the efficiency of image processing has become a key issue that has bothered scholars working on images for a long time. Memristors with non-volatile, synapse-like, as well as integrated storage-and-computation properties can be used to build intelligent processing systems that are closer to the structure and function of biological brains. They are also of great significance when constructing new intelligent image processing systems with non-Von Neumann architecture and for achieving the integrated storage and computation of image data. Based on this, this paper analyses the mathematical models of memristors and discusses their applications in conventional image processing based on memristive systems as well as image processing based on memristive neural networks, to investigate the potential of memristive systems in image processing. In addition, recent advances and implications of memristive system-based image processing are presented comprehensively, and its development opportunities and challenges in different major areas are explored as well. By establishing a complete spectrum of image processing technologies based on memristive systems, this review attempts to provide a reference for future studies in the field, and it is hoped that scholars can promote its development through interdisciplinary academic exchanges and cooperation.

1. Introduction

With the advent of the Internet of Things, cloud computing, and the big data era, there has been explosive growth in the scale of information. However, the physical separation among perception, computation, and storage in the conventional computing architecture requires frequent data shuttling among the units, thereby causing significant system consumption and speed loss and making it difficult to meet the requirements of information analysis and processing [1,2,3]. Therefore, developing new electronic components for intelligent processing systems that are closer to the structure and function of biological brains has become a hot research topic in the fields of modern electronic circuits and image processing [4,5,6].
Image processing technology, which aims to automatically acquire high-level and abstract information from images, after which it simulates how human eyes work with such information, has become increasingly useful in human life and social production. Exploring the basic structure of human brains, simulating their working mechanisms, and establishing neural network models that integrate perception, storage, and computation as a whole have gradually become research hotspots in the fields of image processing and cognitive computing [7]. The current mainstream neural network models can simulate the reasoning and learning functions of human brains to a certain extent, and they have shown some potential in image processing [8]. However, they are confined to certain types and structures with limited processing capabilities. Additionally, the existing ones lack the process of information perception, transmission, and storage prior to the processing stage. Furthermore, the hardware for neural networks is essential to truly realize the conversion from theoretical studies of brain cognition to new technologies of brain-computer intelligence. Nevertheless, most of the current research focuses on the theoretical analysis of the network structures and algorithms, and the research on implementation schemes for neural network hardware is still in its infancy [9,10,11]. Influenced by factors, such as device size, energy consumption, and integrability, conventional implementation schemes for image processing cannot well trade-off the relationship between speed, accuracy, and system consumption [12,13,14]. We schematically compare the traditional image processing systems and memristor-based image processing system as shown in Figure 1. From the perspective of the device, leakage currents become a problem when the channel length and the gate dielectric thickness of a transistor get closer to the scaling limit [3]. With respect to the architecture, the data transfer between processors and memory units significantly reduces both speed and energy efficiency (referred to as the ‘von Neumann bottleneck’). Furthermore, the performance mismatch between the memory and processing units leads to great latency (also called the ‘memory wall’).
The successful preparation of memristors provides a fresh perspective on the hardware implementation of artificial neural networks. It was proposed by Leon Chua, a scientist at the University of California, Berkeley [15] and discovered by Hewlett-Packard (HP) laboratories in 2008 as the fourth fundamental electronic component after the resistor, capacitor, and inductor [16]. Experiments have shown that the memristor has properties, such as non-volatility, variable resistance, nanoscale size, threshold characteristics, low power consumption, and synapse-like structure [17,18,19]. In particular, by taking full advantage of being synapse-like, the memristor can be used as an “electronic synapse” or an “artificial synapse” in the hardware design of neural networks [20]. Further, after choosing a proper memristor model to simulate the weight of the neural network, a more integrated architecture for hardware implementation can be constructed and applied to different image processing tasks [21,22]. Compared with conventional artificial neural networks, the memristive ones incorporate powerful perception ability, massive storage capacity, and intelligent processing mechanisms to enable deeper analysis and exploration, which are expected to solve slow training speed and insufficient online processing capability in image processing [23,24,25].
By collating relevant research on memristive system-based image processing technology (including relevant mathematical models and their applications), this paper comprehensively elaborates on the fusion mechanism of memristive systems and image processing from three aspects, namely the mathematical models of memristive systems, the conventional image processing based on memristive systems, and the image processing based on memristive neural networks. Furthermore, the study summarizes the main directions, progresses, and problems in this field, analyses its development law, and strives to establish a complete spectrum for the reference of researchers in various fields.

2. Mathematical Models

The memristor is a two-terminal non-linear passive circuit element in nanometre and with memory characteristics, whose resistance is variable and controlled by the intensity, polarity, and duration of power supply. By applying an external voltage to the memristor, the conductive properties of its internal functional layer can be changed from a high resistance state (HRS) to a low resistance state (LRS). In particular, three types of theory, i.e., ionic migration, quantum tunnelling, and charge trapping/de-trapping, dominate the study of memristors’ internal physical mechanisms and dynamic characteristics, and they explain most of the observed memristive phenomena [26].
(1)
Ionic migration: This memristor type usually has the active metal (e.g., Ag) as the top electrode and the inert metal (e.g., Pt) as the bottom electrode. By applying a positive voltage to the top electrode, the active metal will be electrolyzed into metal cations. They will move toward the bottom electrode under the external electric field and then return to metal atoms, the accumulation of which form a metal filament conductive channel for the memristor to transit from the HRS to the LRS. Conversely, by applying a positive voltage to the bottom electrode, the formed conductive channel will gradually break, and the memristor will switch from the LRS to the HRS.
(2)
Quantum tunnelling: The internal functional layer of this type of memristor is mainly a metal oxide (e.g., TiOx). The Schottky barrier between the metal electrode and the functional layer is adjusted by applying an external voltage to switch the resistive state of the memristor. It disappears when the memristor is in the LRS, whereas it reappears when the memristor is in the HRS.
(3)
Charge trapping/de-trapping: For a memristor whose functional layer is the metal oxide film, there exists an empty state in the film. When a positive voltage is applied to the top electrode, the empty state traps the injected electrons and stores them, and when the empty state is filled, a conductive channel is formed, after which the memristor switches from the HRS to the LRS. By contrast, when a positive voltage is applied to the bottom electrode, the electrons in the empty state are released, the formed conductive channel is broken, and the memristor changes from the LRS to the HRS.
During the memristor fabrication process, a small parameter variation may lead to huge differences between devices, and even significantly affect circuit performance. Meanwhile the unstable performance between memristor cells and the cells themselves makes the integration of the device challenging.
As a result, most applied research on memristors always using their mathematical models. As the fourth circuit element, the memristor represents the interrelationship between the magnetic flux φ and the charge q, as shown in Figure 2.
The memristors can be divided into two categories, i.e., being charge-controlled and being flux-controlled. For the charge-controlled ones, their flux φ is a single-valued function of the charge q, which is expressed as follows:
φ = f ( q )
Taking the time t derivative of both sides of Equation (1) gives us the following:
d φ d t = d φ ( q ) d q d q d t
Further, based on the voltage v = dφ/dt and the current i = dq/dt, the relation between volt and ampere for the memristors can be obtained as follows:
v = M ( q ) i
where the function M(q), which represents the memristance, satisfies the following mathematical expression:
M ( q ) d φ ( q ) d q
For the flux-controlled memristors, their charge q is a single-valued function of the flux φ, which is expressed as follows:
q = f ( φ )
Taking the time t derivative of both sides of Equation (5) gives us the following:
d q d t = d q ( φ ) d φ d φ d t
Based on the voltage v = dφ/dt and the current i = dq/dt, the relation between voltage v and current i for the two sides of the memristors can be derived as follows:
i = G ( φ ) v
where the function G(q), which represents the memristance, satisfies the following mathematical expression:
G ( φ ) d q ( φ ) d φ
In 2008, a simple linear memristor model based on the ionic migration theory was proposed by D. Strukov’s research team [16], and its structure is shown in Figure 3.
Let us assume that the total thickness of the titanium dioxide functional layer is D, and the one of the doped layer is W. Ron denotes the minimal resistance of the memristor, while Roff represents the maximum. The resistance M(t) of the HP memristor is expressed as:
M ( t ) = R L x ( t ) + R H [ 1 x ( t ) ]
d x d t = k i ( t ) , k = μ v R L D 2
where x represents the internal state variable of the memristor, μv represents the average ionic mobility, i represents the current passing through the memristor, and the constant k is the ratio of the rate of change to the current.
On this basis, a nonlinear memristive model with window functions was constructed in the literature [27] to better describe the boundary effect and nonlinear drift of memristors. In a study conducted by [28] a Simmons tunnelling barrier model was proposed based on the quantum tunnelling theory. It accurately presented the properties of memristive devices, but its mathematical model was more complex, and showed no direct explicit relationship between voltage and current, thereby being unconducive to the subsequent research and applications. Additionally, in 2013, S. Kvatinsky’s research team at the Technion-Israel Institute of Technology [29] put forward a more simplified mathematical version, which was named the ThrEshold Adaptive Memristor (TEAM) model. Two years later, the team [30] further designed the corresponding Voltage ThrEshold Adaptive Memristor (VTEAM) model, with a simple structure as well as certain generality to simulate the threshold characteristics of voltage-controlled memristive devices. In 2017, Fang Liang’s team from the National University of Defense Technology, China [31] brought forward a general TiOx memristive model by combining the nonlinear drift, ionic migration and negative differential resistance (NDR) effect of memristors. In addition, using traditional analogue circuit components, some researchers [32,33,34] realized the memristive circuit simulation based on Chua’s theory as a way to simulate the basic memristive characteristics. In this paper, the abovementioned mathematical models, which are summarized in Table 1 and compared in Table 2, manifest the fundamental features of memristors to some extent. However, their correlation with the physical realization of memristors is not strong enough, and it cannot fully characterize the electrochemical properties of memristive devices.

3. Traditional Image Processing Based on Memristive Systems

The memristor can perform logic calculations directly on the device, making it possible to achieve a true integration of storage and computing. Therefore, it brings new opportunities for the development of traditional image processing technologies.

3.1. Image Storage Based on Memristive Systems

Image processing is a type of memory access-intensive application, which places high demands on memory, requiring both enough capacities to store large-scale image data and fast access speed to ensure processing performance. Currently, non-volatile memories contain the flash memory (NAND), resistive random-access memory (RRAM), phase-change memory (PCM), spin-transfer torque magnetic random-access memory (STT-RAM), and ferroelectric random-access memory (FeRAM). This paper compares the characteristics of various types of new volatile and non-volatile memory devices in terms of capacity, size, read/write performance, lifetime, power consumption, and current technical bottlenecks, etc., with the specific information summarized in Table 3. It is found that memristor-based RRAM has a series of outstanding advantages, such as small size, non-volatility, low power consumption, high density, fast erasure, and compatibility with CMOS processes, making it one of the most promising memory devices.
In 2011, Hu et al. proposed a memristor crossbar array that could be applied to image processing (see Figure 4a) [35]. Together with the peripheral control circuit, the random storage of binary, grey scale and colour images could be successfully realized. When storing binary images, the image information was mapped into pulse sequences of varying amplitudes using a voltage converter as the input of the memristor crossbar array, as shown in Figure 4b. As for the grey scale and colour images, the image information was mapped into pulse sequences of varying widths using the voltage converter, which were then used as the input to the memristor crossbar array. It is worth noting that voltage pulses of different widths were obtained by controlling the timing of write operation, which finally enabled the storage of images, as illustrated in Figure 4c,d.
In the literature [35], a memristor-based resistive random access memory (MRRAM) was mentioned. Through improvement, it stored binary and multi-valued input information with different memristances. The effectiveness of storing ASCII characters and images was verified through simulation experiments, and a new scheme for storing grey scale images was discussed as well. In Tan et al.’s study [36], ITO/CeO2−x/AlOy/Al structured memristors were prepared to realize the perception and non-volatile storage of different multispectral images. Wang constructed a storage circuit based on the 2T2M structured memristive synapse to achieve the storage and recovery of binary images [37]. Compared with conventional storage technology, this circuit effectively reduced the storage space and improved the storage efficiency. In 2017, the research team of Prof. Duan [38] at Southwest University, China, successfully prepared a memristor with silver chalcogenide as the functional layer and constructed a memristive synapse with spike rate- and timing-dependent plasticity by analysing its electrochemical properties. Based on this, an improved memristor crossbar array was designed to realize the storage of grey scale images. One year later, Chen et al. designed a vision system on the basis of combining the optical sensor with the memristor, in which the former was used to detect UV light and convert it into voltage pulses of corresponding intensity, and the latter was adopted to store the converted voltage signal, which realized the perception and storage of UV images [32]. In 2020, Wang Xiaoping and her team members from Huazhong University of Science and Technology raised a memristor-CMOS hybrid storage circuit, where the memristor was utilized to store the bit information of images, while CMOS was applied to conduct control, isolation, and logic operations [39]. A series of simulations confirmed that this memory circuit could achieve improved performance in UHF image storage applications. In summary, most of the studies on memristor-based image storage use memristive synapses for crossbar arrays to keep image information, which reduces the storage density to a certain extent. However, the stability of image memory devices is affected by the issue of current leakage in crossbar arrays. Therefore, avoiding or reducing the leakage is one of the problems of memristor-based image storage technology that must be addressed urgently.

3.2. Image Compression Based on Memristive Systems

With the rapid development of sensor technology, the sizes of image data are also expanding rapidly. Meanwhile, higher requirements are put forward on the clarity and transmission rate of images. Applying memristors to image compression can effectively reduce their storage space and improve their transmission speed at the same time. Therefore, the corresponding circuit implementation scheme has been widely studied by scholars in the related fields.
Li et al. constructed a 128 × 64 memristor crossbar array based on the prepared Ta/HfO2/Pd memristor, and its circuit structure is presented in Figure 5 [40].
Taking advantage of the high parallelism, non-volatility, low power consumption and small size of memristors, this circuit took a single memristor to store image information at the 6-bit precision, which further achieved functions, such as image compression, convolution and filtering. Additionally, a memristor-based image compression framework is presented in Figure 6 [41], considering the loss of two-dimensional discrete wavelet transform. The framework consisted of three memristor crossbar arrays, where the computational one was used to conduct the data multiplication and addition operations, the intermediate array stored the coefficients of row-column transformation, and the final one was used to keep the compressed data of the original image. The image compression could be achieved by taking the generated pulses through a multilayer voltage sensor as input, mapping the image pixels into memristive conductance through the computational array, and then storing them in the other two crossbar arrays. The research conducted by Berco et al. in 2020 proposed a programmable photoelectronic memristor gate circuit, which could perform state switching between optical and electrical signals, to realize in-situ image compression [42]. A research team from Dalian University of Technology [43] designed the simplest fractional-order chaotic memory circuit that identified pseudo-random sequences in image compression through phase diagrams, Lyapunov exponential spectra, and bifurcation diagrams, which achieved image compression for the second time and reduced the storage costs significantly.

3.3. Image Reconstruction Based on Memristive Systems

High-resolution image information is a prerequisite for the subsequent image processing and analysis. Therefore, effectively and quickly achieving high-resolution image reconstruction has become an urgent problem to be solved in this field. The image reconstruction algorithm based on memristive systems has certain advantages in terms of reconstruction quality and algorithm operation efficiency.
In 2017, Patrick et al. constructed a hardware-implemented sparse coding system using a 32 × 32 memristor crossbar array, as shown in Figure 7. The system input image information as sparsely coded pulses into the array and performed high-resolution reconstruction of the input through online learning. The experimental results demonstrated that the system has the advantage of low power consumption and high speed when performing data-intensive tasks (e.g., real-time video-based reconstruction) [44].
Additionally, a study designed a metal-oxide-based memristive synaptic circuit that enabled “negative (−)”, “zero (0)”, and “positive (+)” synaptic weights [45]. Based on this, the corresponding neuronal circuit was built to realize the on-chip cyclic learning algorithm, and the super-resolution reconstruction of a single frame was completed, as depicted in Figure 8.
A memristor-based compressive sampling encoder that could be integrated with an image sensor to achieve super-resolution reconstruction was put forward by Wang et al. [39]. A series of simulations demonstrated the superior performance of the encoder, with low power consumption and low hardware overhead. In addition, Dong et al. [46] designed a multi-channel pulse coupled neural network based on the nanoscale memristor, which effectively solved the problem of parameter estimation in neural networks by simulating the dynamic changes of connection coefficients. The model was further applied to the task of the super-resolution reconstruction of multi-frame images, and its correctness and effectiveness were experimentally demonstrated.

3.4. Others

With the ease of 3D stacking, the memristive system can efficiently complete matrix multiplication and realize the integration of storage and computation. By adjusting the variable parameters and connection methods of the system, and by adding peripheral control circuits, different nonlinear mapping functions are obtained to realize other image processing techniques (e.g., image interpolation, edge detection, image filtering, and image encryption).
Based on the mathematical model of the spintronic memristive device, Dong et al. [47] analysed its electrical characteristics and resistance variation through mathematical derivation and circuit simulation. Additionally, a memristor crossbar array was made by integrating functions, such as image storage and interpolation (as shown in Figure 9).
A study conducted by Yang et al. [38] showed an improved memristive cell neural network as well as an adaptive thresholding algorithm based on spatial distribution, and they achieved the edge extraction of colour images. The paper [48] discussed a memristive mask circuit based on the computation-in-memory (CIM) architecture, which is shown in Figure 10. The core of this mask circuit was a multi-bit analogue adder based on the memristor crossbar array, which selects the memristive cells to be accessed through the row-column switches. Each of the cells stored 8 bits of data according to the change of memristance, which were defined as pixel values in image processing. By controlling the multi-bit adder, integrator, and input module, the circuit could update the memristance with little dependence on the higher-level computing unit. Additionally, operations, such as image denoising, edge detection, and feature extraction were achieved by constructing different mask operators. The research [49] on the memristor-based 2D convolutional circuit implemented the image colour transformation and compression, while the reference [50] to the structure of the human retina achieved functions, such as image smoothing and edge detection.
A memristor-CMOS-based general logic circuit was studied by Yang et al. [51], and furthermore, a new memristor-based full adder circuit and a binary image encryption circuit were designed. Moreover, two available encryption methods were proposed to improve the reliability of encryption results. For Wang et al. [52], they studied a new memristive chaotic circuit to implement image encryption. Through a series of computer simulations, it was proven that the image encryption algorithm based on the new circuit has higher security and better decoding capability compared to the conventional one.

3.5. Summary

Currently, memristive system-based conventional image processing is in a rapid development stage, and some progress has been made in the same field. However, there still exist many problems that must be solved:
(1)
The instability and variability of memristive devices have an impact on the accuracy of image processing. Therefore, it will be a significant study to explore the internal physical mechanism of memristive devices and to study their electrochemical properties under the influence of different external factors, to build a mathematical model that can accurately describe their behaviour.
(2)
Conventional image processing circuits do not consider the possible faults of memristive circuits in practice. Nevertheless, research on fault diagnosis can effectively help reduce the circuit overhead as well as improve algorithm operation efficiency and image processing accuracy while increasing the robustness and anti-interference capability of the circuit.
(3)
On the one hand, the design of the peripheral circuits in some image processing applications is too complex, which increases the power consumption of the system operation. On the other hand, the one with a simple structure and high compatibility can result in enhanced efficiency for complex conventional image processing tasks.

4. Image Processing Based on Memristive Neural Networks

The successful preparation of memristors brings new ideas for simulating the cognitive functions of artificial synapses. By applying memristive synapses to the hardware implementation of neural networks, a new type of neural network with high integration can be built. It possesses powerful image processing capabilities and plays an important role in fields with high computational complexity, such as image recognition, classification, and segmentation.

4.1. Image Recognition Based on Memristive Neural Networks

In the literature [53], an impulsive neural network based on memristors was constructed in which the memristive synapses used STDP rules to update the weights, and the memristive neurons adopted the “winner-take-all” strategy to complete the task of handwriting recognition. It was found that its recognition accuracy could reach 83%. A study conducted by Yakopcic et al. [54] presented a memristor-based convolutional neural network to perform convolutional operations using memristor crossbar arrays, and the accuracy of its handwritten digit recognition reached 94%. Furthermore, a transformation method for neural network models was brought forward [40], as shown in Figure 11.
Specifically, the method of sparsity was taken to divide the original neural network into appropriately sized sub-networks. The limited hardware accuracy was solved by quantizing the input data and somewhat improved to approximately 99.8% from the software side. In the study [55], a 1M structured memristive synapse was introduced to the memristor-based multilayer neural network, and an adaptive backpropagation algorithm was applied to train the neural networks, thereby achieving character recognition. Kang Jinfeng’s team at Peking University [56] reported a memristor-based binary neural network. It was trained online, its weight update was achieved using the 2T2R structure of the memristive synapse, and its correctness and effectiveness were verified on the MNIST dataset with a recognition accuracy of 97.4%. In addition, Hu et al. [22] used 2 phase-change memories to construct artificial synapses, based on which a 3-layer perceptron network was built, and they proved its correctness on the MNIST dataset with a recognition accuracy of 82.2%. For Wang et al. [57], they constructed a memristor-based convolutional neural network, which was significantly improved in terms of array area and energy efficiency compared with previous ones for the handwriting recognition task. In 2020, a research team from Tsinghua University [58] designed a memristor-based convolutional neural network (see Figure 12). Meanwhile, a hybrid training method was suggested to enhance the robustness of the network, and the handwriting recognition task realized an accuracy of over 96%.
In addition, memristor-based neural networks have been applied to other image recognition tasks. For instance, Professor Wu Huaqiang and his team members from Tsinghua University constructed a multilayer perceptron neural network based on 1T1R memristive synapses [59], as shown in Figure 13. The network achieved grey scale face image recognition from the Yale Face Database through online learning, and the recognition rate could reach 88.08% for 9000 test images with noise added. Other researchers [60] investigated a hierarchical temporal memory (HTM) network based on memristors, which applied sparse distributed representations to obtain spatial information of input signals, after which they used parallel learning to adjust the network weights and finally verified the correctness and effectiveness of the network through face recognition tasks. As for the memristor-based probabilistic neural network [61], it carried out product multiplication using memristor crossbar arrays as well as normalization operations on weights to reduce the complexity of the circuit. The network was validated on the Iris Flower dataset with a recognition accuracy of 98%. Furthermore, the multilayer perceptron neural network studied by Yu et al. [62] showed increased adaptive capability by introducing nonlinear features in the learning process and superior performance on general datasets, such as MNIST, Iris, and Car Evaluation.

4.2. Image Classification Based on Memristive Neural Networks

In 2013, Alibart et al. [63] successfully prepared a TiO2−x-based memristor, after which they developed a single-layer perceptron (SLP) neural network based on the TiO2−x memristor crossbar array to achieve image classification. Its circuit structure is displayed in Figure 14.
Another (SLP) neural network was made based on 2M memristive synapses [10], and its circuit structure is presented in Figure 15. The network, which was trained using delta rules, achieved the classification of 3 × 3-pixel black-and-white images. Professor Strukov’s team at the University of California, Santa Barbara [64] prepared a 20 × 20 memristor crossbar array, as depicted in Figure 16. The array adopted TiO2−x and Al2O3 as the functional and stacked layers, respectively, after which it was interconnected with traditional CMOS peripheral circuits, thereby constructing an SLP neural network to achieve the image classification with an accuracy of more than 97%.
Wang et al. [65] prepared a three-dimensional structured memristor and applied it to image classification, which improved the operational efficiency of the algorithm and opened a new path for the in-depth integration of computer vision and novel nanodevices. Additionally, a memory computing framework based on memristors was proposed by Zhang et al. in 2021 [66], which used a greedy search algorithm to improve the robustness and anti-interference capability of the system, and its accuracy reached 92.3% on the classification tasks involving the CIFAR-10 dataset.

4.3. Image Segmentation Based on Memristive Neural Networks

As early as 2014, Myonglae et al. [67] proposed a memristor-based visual recognition system, where the system used a programmable gate array to convert image signals into pulse signals and performed weight updates based on STDP learning rules. As a result, the foreground and background segmentation of figure images from “0” to “9” were achieved. One year later, Chiu and his team members [68] constructed a differential 2R crossbar array, which applied RRAM as a cache to reduce system energy consumption, and they verified its correctness and effectiveness using image segmentation tasks. In the literature [69], a fully convolutional neural network based on memristors was introduced. It utilized voltage selectors and memristor arrays to construct its max-pooling layers as well as a sliding window approach to enhance operation efficiency. Moreover, the weight updates of memristor arrays were implemented through the ex-situ training method, and the effectiveness of the proposed network was finally verified through image segmentation. The study [70] designed a memristor-based cell neural network based on the fractional-order calculus theory, as illustrated in Figure 17.
In the process of image edge extraction, it took the fractional-order control method to increase the high-frequency information and retain more low-texture information. The simulation results proved that the edge images extracted by this network had more complete and clear contour information and richer texture detail information. Another example is the prepared memristor with NbOx as its functional layer [71]. An artificial sensory neuron was constructed, then in combination with an InGaZnO4 optical sensor (see Figure 18), which encoded optical information into impulses, image segmentation in complex backgrounds was achieved by such a pulse-coupled neural network. It is believed that this study has paved the way for the integration of neuromorphology and bioelectronics. In 2021, Chen et al. [72] proposed an efficient memristor-based fully convolutional neural network, which adopted a convolutional kernel-first (CKF) algorithm to achieve effective parameter pruning, thereby significantly reducing circuit power consumption and demonstrating high accuracy and adaptiveness for medical image segmentation tasks.

4.4. Others

Tsai et al. [73] reported a long short-term memory network, which mapped and programmed the network weights into the phase-change memory devices, as demonstrated in Figure 19. Compared to other methods, this network realized the software-equivalent text prediction as well as a larger improvement in the accuracy of weight mapping and text prediction.
Another long short-term memory network was built on a 128 × 64 1T1R memristor array [74], as shown in Figure 20. Through utilizing the memristor arrays to store synaptic weights for different time steps, the network performed the prediction task of the number of global airline passengers and the recognition task of human gait, and it verified the feasibility of the memristor-based long short-term memory neural network in performing tasks, such as linear regression and pattern recognition.
Moreover, Farkhani et al. [75] designed a neuromorphic computing system based on spintronic memristors, where the read circuit was replaced with a proposed real-time sensing circuit, and the input signals were turned into the switching of magnetic moments, thereby substantially reducing circuit energy consumption, providing system operational efficiency, and achieving the real-time tracking of targets. As for the study [76], the chaotic trajectories of memristive circuits were included, which combined the homotopy analysis method (HAM) and multi-objective optimization (MO) to tackle the high computational complexity and low computational efficiency of traditional analysis methods.
In this paper, the architectural characteristics of several image processing algorithms based on memristive neural networks are comprehensively summarized, including their input coding patterns, weight representations and the data types of interlayer communication. The specific comparative information is summarized in Table 4.
The above key research questions will provide references for building the next generation of novel memristive neural networks with integrated perception-storage-computation architectures.

4.5. Summary

With the expanded research in nanomaterials science and image processing technology, image processing based on memristive neural networks has become one of the hot issues in the study on neural network hardware implementation schemes. Currently, there are the following problems that must be solved timely.
(1)
The existing memristive synaptic circuits can only simulate the basic functions and behavioural characteristics of biological synapses, and they lack enough theoretical support from computational neuroscience. Therefore, it is crucial to design a fully functional and simple structured memristive synaptic circuit, which can address the problems of insufficient portray, unclear mechanism, and single plasticity of the conventional ones.
(2)
There is the accumulation of computational errors in memristor-based neural network circuits, which is mainly owing to the discrete nature of memristors, and it is difficult to avoid at the device level. Therefore, designing a newly structured memristor crossbar array that offsets the accumulated errors can provide a new perspective for the hardware implementation of neural networks.
(3)
The current research on image processing based on memristive neural networks is still stuck in the simulation of existing artificial neural networks. Therefore, the next research hotspot will involve taking both the advantages of neurocomputing science and image processing studies, exploring brain-inspired neural network training algorithms, and building memristive neural networks with brain-like memory.

5. Prospects

As the fourth passive circuit component, the memristor has certain memory properties, with its resistance value changing dynamically with the flowing charge and its high similarity with the synapse in the human brain. Using memristors to construct artificial synapses for neuromorphic computation is of great significance to the new intelligent information processing systems and integrated image storage and computation. Memristive system-based image processing technology is an interdisciplinary field of research, covering materials, devices, circuits, architectures, algorithms and integration technologies. We list major challenges and potential solutions for memristive system-based image processing technology, as shown in Table 5.
(1)
At the device level, the device stability is critical to the computing accuracy, as the drift of conductance states with time or environmental changes will result in undesired synaptic weight changes. On the one hand, more reliable and eco-friendly memory devices and memristive arrays are required. On the other hand, the construction of scalable and highly stable memristive mathematical models, following the physical mechanisms of memristor devices and the special properties of memristors, is one of the future directions to further promote image processing research based on memristive systems.
(2)
At the hardware level, in the short term, memristors will be specially utilized to accelerate the construction of artificial neural networks. Compared with conventional computer processors, their analogue signals are processed in a massively parallel manner, which increases the computational speed and fault tolerance simultaneously and significantly reduces the system power consumption. This parallel computing and low power consumption feature is well suited for image processing tasks with large data volumes and high computational complexity. In the long term, artificial synapses built on memristors will be one of the new approaches for facilitating the hardware implementation of brain-like neural networks. Nevertheless, the current memristive synaptic circuits can merely simulate the basic functions and behavioural characteristics of biological synapses, and they receive insufficient theoretical support from computational neuroscience. Therefore, the design of the memristive synaptic circuits with multiple biological synaptic properties can provide a new idea and platform for exploring a general memristive system-based image processing architecture to address the problems of insufficient portray, unclear mechanism, single plasticity, etc. Meanwhile, peripheral circuits control the read/write process in the memristor-based image processing systems. memristor-based image processing systems are expected to further improve the performance of online learning and reduce the complexity of peripheral programming circuits in the future.
(3)
At the algorithm level, the learning algorithms of memristor based image processing systems are still under development. The conventional computing system has the problems of high cost and difficult training when simulating impulsive neural networks, whereas the unique dynamic memory and reconfigurable characteristics of memristors can realize not only the diverse biological synaptic plasticity for artificial synapses but also the natural compatibility of artificial neural networks and impulsive neural networks. The image processing algorithm based on memristive systems can learn from deep learning and computational neuroscience to solve the problems of slow training speed and the insufficient online processing capability of conventional artificial neural networks in image processing applications. With better understanding of neuronal communications and functionalities, general learning algorithms should be designed to promote hardware development as well.

6. Conclusions

Memristors have been widely studied in image possessing for their synapse-like properties, low power consumption, high efficiency, integrability, etc. Two of their major applications are memristive system-based traditional image processing, including image compression, reconstruction, and edge extraction, and memristive neutral network-based image processing, including image recognition, classification, and segmentation. In neural networks, memristors are mainly adopted as synaptic devices to realize the hardware mapping of synaptic weights under pulse stimulation and to store the synaptic weights in real time for in-situ computation. The parallel computing capability of the memristor array improves the operational efficiency of the neural network and reduces the energy consumption of the system. Additionally, it is believed that the image processing technology based on memristive systems has very promising prospects in terms of its computational speed, computational energy efficiency, and processing accuracy, etc. Therefore, to develop a new type of energy-efficient memristor-based image processing system, collaborative innovations are needed in areas, such as mathematical modelling, architecture, and algorithm implementation.

Author Contributions

X.J.: writing—original draft, writing—review & editing, conceptualization, supervision. Z.D.: methodology, writing—review & editing, implementation, funding acquisition. G.Z.: investigation, visualization. C.S.L.: writing—original draft, writing—review & editing. D.Q.: writing—review & editing, formal analysis, supervision, funding acquisition. Y.Y.: writing—review & editing, implementation. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported in part by the National Natural Science Foundation of China under Grant U1909201, Grant 62001149, and Natural Science Foundation of Zhejiang Province under Grant LQ21F010009.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Zidan, M.A.; Strachan, J.P.; Lu, W.D. The future of electronics based on memristive systems. Nat. Electron. 2018, 1, 22–29. [Google Scholar] [CrossRef]
  2. Wang, Z.; Joshi, S.; Savel’ev, S.E.; Jiang, H.; Midya, R.; Lin, P.; Hu, M.; Ge, N.; Strachan, J.P.; Li, Z.; et al. Memristors with diffusive dynamics as synaptic emulators for neuromorphic computing. Nat. Mater. 2017, 16, 101–108. [Google Scholar] [CrossRef] [Green Version]
  3. Dong, Z.; Sing Lai, C.; Zhang, Z.; Qi, D.; Gao, M.; Duan, S. Neuromorphic extreme learning machines with bimodal memristive synapses. Neurocomputing 2021, 453, 38–49. [Google Scholar] [CrossRef]
  4. Schuman, C.D.; Potok, T.E.; Patton, R.M.; Birdwell, J.D.; Dean, M.E.; Rose, G.S.; Plank, J.S. A survey of neuromorphic computing and neural networks in hardware. arXiv 2017, arXiv:1705.06963. [Google Scholar]
  5. Davies, M.; Srinivasa, N.; Lin, T.H.; Chinya, G.; Cao, Y.; Choday, S.H.; Dimou, G.; Joshi, P.; Imam, N.; Jain, S.; et al. Loihi: A neuromorphic manycore processor with on-chip learning. IEEE Micro 2018, 38, 82–99. [Google Scholar] [CrossRef]
  6. Jo, S.H.; Chang, T.; Ebong, I.; Bhadviya, B.B.; Mazumder, P.; Lu, W. Nanoscale memristor device as synapse in neuromorphic systems. Nano Lett. 2010, 10, 1297–1301. [Google Scholar] [CrossRef] [PubMed]
  7. Schmidhuber, J. Deep Learning in neural networks: An overview. Neural Netw. 2015, 61, 85–117. [Google Scholar] [CrossRef] [Green Version]
  8. Ji, X.; Qi, D.; Dong, Z.; Lai, C.S.; Zhou, G.; Hu, X. TSSM: Three-state switchable memristor model based on Ag/TiOx nanobelt/Ti configuration. Int. J. Bifurc. Chaos 2021, 31, 2130020. [Google Scholar] [CrossRef]
  9. Yang, J.J.; Strukov, D.B.; Stewart, D.R. Memristive devices for computing. Nat. Nanotechnol. 2013, 8, 13–24. [Google Scholar] [CrossRef]
  10. Prezioso, M.; Merrikh-Bayat, F.; Hoskins, B.D.; Adam, G.C.; Likharev, K.K.; Strukov, D.B. Training and operation of an integrated neuromorphic network based on metal-oxide memristors. Nature 2015, 521, 61–64. [Google Scholar] [CrossRef] [Green Version]
  11. Pi, S.; Li, C.; Jiang, H.; Xia, W.; Xin, H.; Yang, J.J.; Xia, Q. Memristor crossbar arrays with 6-nm half-pitch and 2-nm critical dimension. Nat. Nanotechnol. 2019, 14, 35–39. [Google Scholar] [CrossRef] [PubMed]
  12. Gokmen, T.; Onen, M.; Haensch, W. Training deep convolutional neural networks with resistive cross-point devices. Front. Neurosci. 2017, 11, 538. [Google Scholar] [CrossRef] [PubMed]
  13. Esser, S.K.; Merolla, P.A.; Arthur, J.V.; Cassidy, A.S.; Appuswamy, R.; Andreopoulos, A.; Berg, D.J.; McKinstry, J.L.; Melano, T.; Barch, D.R.; et al. Convolutional networks for fast, energy-efficient neuromorphic computing. Proc. Natl. Acad. Sci. USA 2016, 113, 11441–11446. [Google Scholar] [CrossRef] [Green Version]
  14. Choi, S.; Shin, J.H.; Lee, J.; Sheridan, P.; Lu, W.D. Experimental demonstration of feature extraction and dimensionality reduction using memristor networks. Nano Lett. 2017, 17, 3113–3118. [Google Scholar] [CrossRef] [PubMed]
  15. Chua, L.O. Memristor—The missing circuit element. IEEE Trans. Circuit Theory 1971, 18, 507–519. [Google Scholar] [CrossRef]
  16. Strukov, D.B.; Snider, G.S.; Stewart, D.R.; Williams, R.S. The missing memristor found. Nature 2008, 453, 80–83. [Google Scholar] [CrossRef]
  17. Zhang, C.; Ye, W.B.; Zhou, K.; Chen, H.Y.; Yang, J.Q.; Ding, G.; Chen, X.; Zhou, Y.; Zhou, L.; Li, F.; et al. Bioinspired artificial sensory nerve based on nafion memristor. Adv. Funct. Mater. 2019, 29, 1970133. [Google Scholar] [CrossRef]
  18. Hu, L.; Fu, S.; Chen, Y.; Cao, H.; Liang, L.; Zhang, H.; Gao, J.; Wang, J.; Zhuge, F. Ultrasensitive memristive synapses based on lightly oxidized sulfide films. Adv. Mater. 2017, 29, 6927. [Google Scholar] [CrossRef]
  19. Xu, W.; Lee, Y.; Min, S.Y.; Park, C.; Lee, T.W. Simple, inexpensive, and rapid approach to fabricate cross-shaped memristors using an inorganic-nanowire-digital-alignment technique and a one-step reduction process. Adv. Mater. 2016, 28, 527–532. [Google Scholar] [CrossRef]
  20. Dong, Z.; Lai, C.S.; He, Y.; Qi, D.; Duan, S. Hybrid dual-complementary metal-oxide-semiconductor/memristor synapse-based neural network with its applications in image super-resolution. IET Circuits Devices Syst. 2019, 13, 1241–1248. [Google Scholar] [CrossRef]
  21. Sheri, A.M.; Hwang, H.; Jeon, M.; Lee, B.G. Neuromorphic character recognition system with two PCMO memristors as a synapse. IEEE Trans. Ind. Electron. 2014, 61, 2933–2941. [Google Scholar] [CrossRef]
  22. Hu, M.; Graves, C.E.; Li, C.; Li, Y.; Ge, N.; Montgomery, E.; Davila, N.; Jiang, H.; Williams, R.S.; Yang, J.J.; et al. Memristor-Based analog computation and neural network classification with a dot product engine. Adv. Mater. 2018, 30, 5914. [Google Scholar] [CrossRef] [PubMed]
  23. Xie, L.; Nguyen, H.A.D.; Yu, J.; Kaichouhi, A.; Taouil, M.; Alfailakawi, M.; Hamdioui, S. Scouting logic: A novel memristor-based logic design for resistive computing. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI, ISVLSI, Bochum, Germany, 3–5 July 2017; IEEE Computer Society: Bochum, Germany, 2017; pp. 176–181. [Google Scholar]
  24. Kvatinsky, S.; Satat, G.; Wald, N.; Friedman, E.G.; Kolodny, A.; Weiser, U.C. Memristor-based material implication (IMPLY) logic: Design principles and methodologies. IEEE Trans. Very Large Scale Integr. Syst. 2014, 22, 2054–2066. [Google Scholar] [CrossRef]
  25. James, A.P. Memristor threshold logic: An overview to challenges and applications. arXiv 2016, arXiv:1612.01711. [Google Scholar]
  26. Wang, Z.; Wu, H.; Burr, G.W.; Hwang, C.S.; Wang, K.L.; Xia, Q.; Yang, J.J. Resistive switching materials for information processing. Nat. Rev. Mater. 2020, 5, 173–195. [Google Scholar] [CrossRef]
  27. Biolek, D.; Biolek, Z.; Biolkova, V. SPICE modeling of memristive, memcapacitative and meminductive systems. In Proceedings of the ECCTD 2009—European Conference on Circuit Theory and Design Conference Program, Sofia, Bulgaria, 7–10 September 2020; IEEE: Antalya, Turkey, 2009; pp. 249–252. [Google Scholar]
  28. Wang, X.; Chen, Y.; Xi, H.; Li, H.; Dimitrov, D. Spintronic memristor through spin-thorque-induced magnetization motion. IEEE Electron Device Lett. 2009, 30, 294–297. [Google Scholar] [CrossRef]
  29. Kvatinsky, S.; Friedman, E.G.; Kolodny, A.; Weiser, U.C. TEAM: Threshold adaptive memristor model. IEEE Trans. Circuits Syst. I Regul. Pap. 2013, 60, 211–221. [Google Scholar] [CrossRef]
  30. Kvatinsky, S.; Ramadan, M.; Friedman, E.G.; Kolodny, A. VTEAM: A general model for voltage-controlled memristors. IEEE Trans. Circuits Syst. II Express Briefs 2015, 62, 786–790. [Google Scholar] [CrossRef]
  31. Zhang, J.; Tang, Z.; Xu, N.; Wang, Y.; Sun, H.; Wang, Z.; Fang, L. A generalized model of TiOx-based memristive devices and its application for image processing. Chin. Phys. B 2017, 26, 502. [Google Scholar] [CrossRef]
  32. Chen, M.; Bao, B.; Jiang, T.; Bao, H.; Xu, Q.; Wu, H.; Wang, J. Flux-charge analysis of initial state-dependent dynamical behaviors of a memristor emulator-based chua’s circuit. Int. J. Bifurc. Chaos 2018, 28, e1850120. [Google Scholar] [CrossRef]
  33. Xie, X.; Zou, L.; Wen, S.; Zeng, Z.; Huang, T. A flux-controlled logarithmic memristor model and emulator. Circuits Syst. Signal Process. 2019, 38, 1452–1465. [Google Scholar] [CrossRef]
  34. Ginoux, J.M.; Muthuswamy, B.; Meucci, R.; Euzzor, S.; Di Garbo, A.; Ganesan, K. A physical memristor based Muthuswamy–Chua–Ginoux system. Sci. Rep. 2020, 10, 6108. [Google Scholar] [CrossRef] [PubMed]
  35. Hu, X.; Wang, L.; Duan, S.; Liao, X. Memristor cross array and its application in image processing. Sci. Sin. Informationis 2011, 41, 500–512. [Google Scholar] [CrossRef]
  36. Tan, H.; Liu, G.; Zhu, X.; Yang, H.; Chen, B.; Chen, X.; Shang, J.; Lu, W.D.; Wu, Y.; Li, R.W. An optoelectronic resistive switching memory with integrated demodulating and arithmetic functions. Adv. Mater. 2015, 27, 2797–2803. [Google Scholar] [CrossRef] [PubMed]
  37. Wang, Z.Y. Research on Memristor-Based Multilevel Storage Circuit Design and Applications. Master’s Thesis, Huazhong University of Science & Technology, Wuhan, China, 2016. [Google Scholar]
  38. Liu, Q.; Wang, L.; Yang, J.; Wang, Y.; Duan, S. Fusion of image storage and operation based on ag-chalcogenide memristor with synaptic plasticity. J. Circuits Syst. Comput. 2017, 26, 1614. [Google Scholar] [CrossRef]
  39. Wang, T.Y.; Meng, J.L.; Li, Q.X.; Chen, L.; Zhu, H.; Sun, Q.Q.; Ding, S.J.; Zhang, D.W. Forming-free flexible memristor with multilevel storage for neuromorphic computing by full PVD technique. J. Mater. Sci. Technol. 2021, 60, 21–26. [Google Scholar] [CrossRef]
  40. Li, C.; Hu, M.; Li, Y.; Jiang, H.; Ge, N.; Montgomery, E.; Zhang, J.; Song, W.; Dávila, N.; Graves, C.E.; et al. Analogue signal and image processing with large memristor crossbars. Nat. Electron. 2018, 1, 52–59. [Google Scholar] [CrossRef]
  41. Halawani, Y.; Mohammad, B.; Al-Qutayri, M.; Al-Sarawi, S.F. Memristor-based hardware accelerator for image compression. IEEE Trans. Very Large Scale Integr. Syst. 2018, 26, 2749–2758. [Google Scholar] [CrossRef]
  42. Berco, D.; Ang, D.S.; Kalaga, P.S. Programmable photoelectric memristor gates for in situ image compression. Adv. Intell. Syst. 2020, 2, 2000079. [Google Scholar] [CrossRef]
  43. Hu, H.; Cao, Y.; Xu, J.; Ma, C.; Yan, H. An image compression and encryption algorithm based on the fractional-order simplest chaotic circuit. IEEE Access 2021, 9, 22141–22155. [Google Scholar] [CrossRef]
  44. Sheridan, P.M.; Cai, F.; Du, C.; Ma, W.; Zhang, Z.; Lu, W.D. Sparse coding with memristor networks. Nat. Nanotechnol. 2017, 12, 784–789. [Google Scholar] [CrossRef]
  45. Dong, Z.; Lai, C.S.; Xu, Z.; Qi, D. Single image super-resolution via the implementation of the hardware-friendly sparse coding. In Proceedings of the 2018 37th Chinese Control Conference (CCC), Wuhan, China, 25–27 July 2018; IEEE Computer Society: Wuhan, China, 2018; Volume 2018, pp. 8132–8137. [Google Scholar]
  46. Dong, Z.; Du, C.; Lin, H.; Lai, C.S.; Hu, X.; Duan, S. Multi-channel Memristive Pulse Coupled Neural Network Based Multi-frame Images Super-resolution Reconstruction Algorithm. J. Electron. Inf. Technol. 2020, 42, 835–843. [Google Scholar] [CrossRef]
  47. Dong, Z.K.; Yan, Y.F.; Qi, D.L.; Chen, J.; Diam, S.C. Transmemristive cross array and its application in image processing. In Proceedings of the 36th China Control Conference, Dalian, China, 26–28 July 2017; Dalian University of Technology: Dalian, China, 2017. [Google Scholar]
  48. Shang, L.; Duan, S.; Wang, L.; Huang, T. SRMC: A multibit memristor crossbar for self-renewing image mask. IEEE Trans. Very Large Scale Integr. Syst. 2018, 26, 2830–2841. [Google Scholar] [CrossRef]
  49. Athreyas, N.; Song, W.; Perot, B.; Xia, Q.; Mathew, A.; Gupta, J.; Gupta, D.; Yang, J.J. Memristor-CMOS analog coprocessor for acceleration of high-performance computing applications. ACM J. Emerg. Technol. Comput. Syst. 2018, 14, 9985. [Google Scholar] [CrossRef]
  50. Pajouhi, Z.; Roy, K. Image edge detection based on swarm intelligence using memristive networks. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2018, 37, 1774–1787. [Google Scholar] [CrossRef] [Green Version]
  51. Yang, H.; Duan, S.C.; Dong, Z.K.; Wang, L.D.; Hu, X.F.; Shang, L.T. General logic circuit based on memristor-cmos and its application. Sci. China Inf. Sci. 2020, 50, 14. [Google Scholar]
  52. Ye, X.; Wang, X.; Gao, S.; Mou, J.; Wang, Z.; Yang, F. A new chaotic circuit with multiple memristors and its application in image encryption. Nonlinear Dyn. 2020, 99, 1489–1506. [Google Scholar] [CrossRef]
  53. Wu, X.; Saxena, V.; Zhu, K. Homogeneous spiking neuromorphic system for real-world pattern recognition. IEEE J. Emerg. Sel. Top. Circuits Syst. 2015, 5, 254–266. [Google Scholar] [CrossRef] [Green Version]
  54. Yakopcic, C.; Alom, M.Z.; Taha, T.M. Memristor crossbar deep network implementation based on a Convolutional neural network. In Proceedings of the 2016 International Joint Conference on Neural Networks (IJCNN), Vancouver, BC, Canada, 24–29 July 2016; Institute of Electrical and Electronics Engineers Inc.: Vancouver, BC, Canada, 2016; Volume 2016, pp. 963–970. [Google Scholar]
  55. Zhang, Y.; Wang, X.; Friedman, E.G. Memristor-based circuit design for multilayer neural networks. IEEE Trans. Circuits Syst. I Regul. Pap. 2018, 65, 677–686. [Google Scholar] [CrossRef]
  56. Zhou, Z.; Huang, P.; Xiang, Y.C.; Shen, W.S.; Zhao, Y.D.; Feng, Y.L.; Gao, B.; Wu, H.Q.; Qian, H.; Liu, L.F.; et al. A new hardware implementation approach of BNNs based on nonlinear 2T2R synaptic cell. Tech. Dig. Int. Electron Devices Meet. IEDM 2019, 18, 71–74. [Google Scholar] [CrossRef]
  57. Wang, Z.; Li, C.; Lin, P.; Rao, M.; Nie, Y.; Song, W.; Qiu, Q.; Li, Y.; Yan, P.; Strachan, J.P.; et al. In situ training of feed-forward and recurrent convolutional memristor networks. Nat. Mach. Intell. 2019, 1, 434–442. [Google Scholar] [CrossRef]
  58. Yao, P.; Wu, H.; Gao, B.; Tang, J.; Zhang, Q.; Zhang, W.; Yang, J.J.; Qian, H. Fully hardware-implemented memristor convolutional neural network. Nature 2020, 577, 641–646. [Google Scholar] [CrossRef]
  59. Yao, P.; Wu, H.; Gao, B.; Eryilmaz, S.B.; Huang, X.; Zhang, W.; Zhang, Q.; Deng, N.; Shi, L.; Wong, H.S.P.; et al. Face classification using electronic synapses. Nat. Commun. 2017, 8, 5199. [Google Scholar] [CrossRef]
  60. Liu, X.; Huang, Y.; Zeng, Z.; Wunsch, D.C. Memristor-based HTM spatial pooler with on-device learning for pattern recognition. IEEE Trans. Syst. Man Cybern. Syst. 2020, 3, 5612. [Google Scholar] [CrossRef]
  61. Krestinskaya, O.; James, A.P. Approximate probabilistic neural networks with gated threshold logic. In Proceedings of the 2018 IEEE 18th International Conference on Nanotechnology, Cork, Ireland, 23–26 July 2018; Volume 18, p. 6302. [Google Scholar] [CrossRef] [Green Version]
  62. Yu, Y.; Adu, K.; Tashi, N.; Anokye, P.; Wang, X.; Ayidzoe, M.A. RMAF: Relu-Memristor-Like Activation Function for Deep Learning. IEEE Access 2020, 8, 72727–72741. [Google Scholar] [CrossRef]
  63. Alibart, F.; Zamanidoost, E.; Strukov, D.B. Pattern classification by memristive crossbar circuits using ex situ and in situ training. Nat. Commun. 2013, 4, 3072. [Google Scholar] [CrossRef] [Green Version]
  64. Bayat, F.M.; Prezioso, M.; Chakrabarti, B.; Nili, H.; Kataeva, I.; Strukov, D. Implementation of multilayer perceptron network with highly uniform passive memristive crossbar circuits. Nat. Commun. 2018, 9, 4482. [Google Scholar] [CrossRef]
  65. Lin, P.; Li, C.; Wang, Z.; Li, Y.; Jiang, H.; Song, W.; Rao, M.; Zhuo, Y.; Upadhyay, N.K.; Barnell, M.; et al. Three-dimensional memristor circuits as complex neural networks. Nat. Electron. 2020, 3, 225–232. [Google Scholar] [CrossRef]
  66. Zhang, W.; Gao, B.; Yao, P.; Tang, J.; Qian, H.; Wu, H. Array-level boosting method with spatial extended allocation to improve the accuracy of memristor based computing-in-memory chips. Sci. China Inf. Sci. 2021, 64, 3198. [Google Scholar] [CrossRef]
  67. Chu, M.; Kim, B.; Park, S.; Hwang, H.; Jeon, M.; Lee, B.H.; Lee, B.G. Neuromorphic hardware system for visual pattern recognition with memristor array and CMOS neuron. IEEE Trans. Ind. Electron. 2015, 62, 2410–2419. [Google Scholar] [CrossRef]
  68. Chiu, P.F.; Nikolić, B. A differential 2R crosspoint RRAM array with zero standby current. IEEE Trans. Circuits Syst. II Express Briefs 2015, 62, 461–465. [Google Scholar] [CrossRef]
  69. Wen, S.; Wei, H.; Zeng, Z.; Huang, T. Memristive fully convolutional network: An accurate hardware image-segmentor in deep learning. IEEE Trans. Emerg. Top. Comput. Intell. 2018, 2, 324–334. [Google Scholar] [CrossRef]
  70. Xiu, C.; Li, X. Edge extraction based on memristor cell neural network with fractional order template. IEEE Access 2019, 7, 90750–90759. [Google Scholar] [CrossRef]
  71. Wu, Q.; Dang, B.; Lu, C.; Xu, G.; Yang, G.; Wang, J.; Chuai, X.; Lu, N.; Geng, D.; Wang, H.; et al. Spike encoding with optic sensory neurons enable a pulse coupled neural network for ultraviolet image segmentation. Nano Lett. 2020, 20, 8015–8023. [Google Scholar] [CrossRef]
  72. Chen, J.; Wu, Y.; Yang, Y.; Wen, S.; Shi, K.; Bermak, A.; Huang, T. An efficient memristor-based circuit implementation of squeeze-and-excitation fully convolutional neural networks. IEEE Trans. Neural Netw. Learn. Syst. 2021, 4, 4047. [Google Scholar] [CrossRef]
  73. Tsai, H.; Ambrogio, S.; MacKin, C.; Narayanan, P.; Shelby, R.M.; Rocki, K.; Chen, A.; Burr, G.W. Inference of long-short term memory networks at software-equivalent accuracy using 2.5M analog phase change memory devices. Dig. Tech. Pap.—Symp. VLSI Technol. 2019, 2019, T82–T83. [Google Scholar] [CrossRef]
  74. Li, C.; Wang, Z.; Rao, M.; Belkin, D.; Song, W.; Jiang, H.; Yan, P.; Li, Y.; Lin, P.; Hu, M.; et al. Long short-term memory networks in memristor crossbar arrays. Nat. Mach. Intell. 2019, 1, 49–57. [Google Scholar] [CrossRef]
  75. Farkhani, H.; Tohidi, M.; Farkhani, S.; Madsen, J.K.; Moradi, F. A low-power high-speed spintronics-based neuromorphic computing system using real-time tracking method. IEEE J. Emerg. Sel. Top. Circuits Syst. 2018, 8, 627–638. [Google Scholar] [CrossRef]
  76. Hu, W.; Luo, H.; Chen, C.; Wei, R. A multi-interval homotopy analysis method using multi-objective optimization for analytically analyzing chaotic dynamics in memristive circuit. IEEE Access 2019, 7, 116328–116341. [Google Scholar] [CrossRef]
Figure 1. The comparation between the traditional image processing systems and memristor-based image processing system.
Figure 1. The comparation between the traditional image processing systems and memristor-based image processing system.
Electronics 10 03176 g001
Figure 2. The four fundamental two-terminal circuit elements.
Figure 2. The four fundamental two-terminal circuit elements.
Electronics 10 03176 g002
Figure 3. Schematic diagram of HP memristor.
Figure 3. Schematic diagram of HP memristor.
Electronics 10 03176 g003
Figure 4. Application of memristor crossbar array in image storage. (a) memristor crossbar array; (b) memristor crossbar array used to store binary images; (c) memristor crossbar array used to store grey scale images; (d) memristor crossbar array used to store color images.
Figure 4. Application of memristor crossbar array in image storage. (a) memristor crossbar array; (b) memristor crossbar array used to store binary images; (c) memristor crossbar array used to store grey scale images; (d) memristor crossbar array used to store color images.
Electronics 10 03176 g004
Figure 5. Application of crossbar array based on Ta/HfO2/Pd memristor in image processing. (a) memristor hardware structure; (b) memristor crossbar array; (c) memristor crossbar array to achieve image compression.
Figure 5. Application of crossbar array based on Ta/HfO2/Pd memristor in image processing. (a) memristor hardware structure; (b) memristor crossbar array; (c) memristor crossbar array to achieve image compression.
Electronics 10 03176 g005
Figure 6. Application of crossbar array based on memristor in image compression. (a) memristor crossbar array; (b) image compression framework; (c) image compression result.
Figure 6. Application of crossbar array based on memristor in image compression. (a) memristor crossbar array; (b) image compression framework; (c) image compression result.
Electronics 10 03176 g006
Figure 7. Memristor crossbar array-based computing hardware system.
Figure 7. Memristor crossbar array-based computing hardware system.
Electronics 10 03176 g007
Figure 8. Single frame image super-resolution reconstruction based on memristive synapses.
Figure 8. Single frame image super-resolution reconstruction based on memristive synapses.
Electronics 10 03176 g008
Figure 9. Image interpolation based on memristive system.
Figure 9. Image interpolation based on memristive system.
Electronics 10 03176 g009
Figure 10. The application of mask circuit based on memristor crossbar array in edge extraction. (a) memristor crossbar array; (b) image edge extraction results.
Figure 10. The application of mask circuit based on memristor crossbar array in edge extraction. (a) memristor crossbar array; (b) image edge extraction results.
Electronics 10 03176 g010
Figure 11. Handwriting recognition based on the ReRAM array.
Figure 11. Handwriting recognition based on the ReRAM array.
Electronics 10 03176 g011
Figure 12. Five-layer mCNN with memristor convolver.
Figure 12. Five-layer mCNN with memristor convolver.
Electronics 10 03176 g012
Figure 13. Face recognition task is realized in 1T1R array.
Figure 13. Face recognition task is realized in 1T1R array.
Electronics 10 03176 g013
Figure 14. Single-layer perceptron network memristor circuit.
Figure 14. Single-layer perceptron network memristor circuit.
Electronics 10 03176 g014
Figure 15. Single layer perceptron implemented using 10 × 6 memristor crossbar array.
Figure 15. Single layer perceptron implemented using 10 × 6 memristor crossbar array.
Electronics 10 03176 g015
Figure 16. Three-layer fully connected perceptron network realized though Pt/Al2O3/TiO2−x/Ti/Pt memristor arrays.
Figure 16. Three-layer fully connected perceptron network realized though Pt/Al2O3/TiO2−x/Ti/Pt memristor arrays.
Electronics 10 03176 g016
Figure 17. Memristor-based cell neural network.
Figure 17. Memristor-based cell neural network.
Electronics 10 03176 g017
Figure 18. Impulse coupled neural network based on memristor.
Figure 18. Impulse coupled neural network based on memristor.
Electronics 10 03176 g018
Figure 19. Realization method of long short-term memory network based on phase-change memory device unit.
Figure 19. Realization method of long short-term memory network based on phase-change memory device unit.
Electronics 10 03176 g019
Figure 20. LSTM network based on memristor synaptic array.
Figure 20. LSTM network based on memristor synaptic array.
Electronics 10 03176 g020
Table 1. Mathematical models of memristors.
Table 1. Mathematical models of memristors.
Model TypeCurrent-Voltage RelationshipDynamic Equation of State Variable
HP Memristive Model [16] v ( t ) = R L x ( t ) D + R H 1 x ( t ) D i ( t ) d x d t = k i ( t ) , k = μ v R L D 2
Nonlinear Memristive Model [27] i ( t ) = w n ( t ) β sinh ( α v ( t ) ) + x [ exp ( γ v ( t ) ) 1 ] d w ( t ) d t = a v m ( t ) f ( w )
Simmons Memristive Model [28] i ( t ) = A ˜ x , v g ϕ 1 v g , x exp B v g , x ϕ 1 v g , x 1 / 2 A ˜ x , v g ϕ 1 v z , x + e v z × exp B v g , x ϕ 1 v g , x + e v ε 1 / 2 v g = v i ( t ) R s d x ( t ) d x = C e f t sinh i i o f f exp exp x a a f f w c | i | b x w c ,       i > 0 C o n sinh i i o n exp exp x a o n w c | i | b x w c ,       i < 0
TEAM Memristive Model [29] v ( t ) = R L + R H R L x o f f x o n x x o n i ( t ) v ( t ) = R L exp λ x o f i x o n x x o n i ( t ) d x ( t ) d t = k o f f i ( t ) i o f f 1 α d f f o f f ( x ) 0 < i o f f < i 0 i a n < i < i o f k o n i ( t ) i o n 1 α o n f o n ( x ) i < i o n < 0
VTEAM Memristive Model [30] i ( t ) = R L + R H R L x H x L x x L 1 v ( t ) i ( t ) = e λ x H x L x x L R L v ( t ) d x d t = k off   v ( t ) v th   1 1 α of   f off   ( x ) , 0 < v th 1 v 0 , v th 2 < v < v th 1 k on   v ( t ) v th 2 1 α on   f on   ( x ) , v v th 2 < 0
General TiOx Memristive Model [31] i t = x n 1 k on 1 sinh v v on 1 + 1 x n 1 k r e v / v r 1 , v t 0 x n 2 k on 2 sinh v v on 2 + 1 x n 2 k off sinh v v off , v 0 < 0 d x d t = α 1 sinh β 1 v γ x , v > 0 α 2 sinh β 2 v γ x , v < 0
Table 2. Comparative information of different memristive models.
Table 2. Comparative information of different memristive models.
HP Memristive Model [16]Nonlinear Memristive Model [27]Simmons Memristive Model [28]TEAM Memristive Model [29]VTEAM Memristive Model [30]General TiOx Memristive Model [31]
Physical SupportYesNoYesNoNoNo
Physical Mechanism Ionic MigrationIonic MigrationQuantum TunnelingNoNoIonic Migration
Model ComplexitySimpleSimpleComplexModerateModerateModerate
Applied RangeWiderWiderNarrowerWiderWiderWider
Table 3. Comparative information of different memory devices.
Table 3. Comparative information of different memory devices.
ParameterDRAMNANDSTT-RAMRRAMFeRAMPCM
Capacity~16 Gb~1 Tb~64 Mb~1 TB~64 MB~8 Gb
Technology level~20 nm~16 nm~32 nm~11 nm~65 nm~5 nm
Feature Size6–10 F24–11 F216–60 F24–14 F215–34 F24–8 F2
Read Operation Time<10 ns10–50 us2–20 ns10–50 ns20–80 ns10–100 ns
Write Operation Time<10 ns0.1–1 ms5–35 ns10–50 ns10–5 ns20–120 ns
Lifetime >1015104–1061012–1015108–10101012–1014108–1012
Data RetentionRefresh10 Years>10 Years10 Years10 Years>10 Years
Write Power 0.1
~0.1 nJ/b
0.1–1 nJ/b1.6–5 nJ/b~0.1 nJ/b<1 nJ/b<1 nJ/b
Idle PowerHighLowLowLowLowLow
Non-volatileVolatileNon-volatileNon-volatileNon-volatileNon-volatileNon-volatile
Destructive ReadDestructiveNon-destructiveNon-destructiveNon-destructiveDestructiveNon-destructive
Major Technical BottlenecksMemory refresh, volatility, limited memory processLimited lifetime performance, low storage densitySmall capacity, high write power consumption, poor stabilityUnclear material storage mechanism Small capacity, destructive read, low storage densitySmall capacity, narrow range of material operable temperature
Table 4. Comparative information of memristive neural network-based image processing.
Table 4. Comparative information of memristive neural network-based image processing.
ReferenceArchitectural Characteristics of Image Processing Algorithms Based on Memristive Neural Networks
Input CodingWeight Representation Neural Network Communication
[67]Amplitude Encoding/Time Encoding Analogue SignalDifferential AmplifierMulti-precision
[69]Amplitude Encoding Analogue SignalMulti-precision MSB
[38]Amplitude Encoding Analogue SignalDifferential AmplifierMulti-precision
[40]Amplitude Encoding Analogue SignalDifferential AmplifierMulti-precision
[62]Amplitude Encoding Analogue SignalPeripheral Circuit Processing MSB
Table 5. Key challenges and possible strategies of memristive system-based image processing technology on the device, hardware, and algorithm levels.
Table 5. Key challenges and possible strategies of memristive system-based image processing technology on the device, hardware, and algorithm levels.
Key ChallengesPossible Strategies
Device levelMaterialsFabricate standard-process and compatible new materials and interconnect materials with high conductanceUse alternative organic materials, 2D, and functional materials, and develop new processes for new materials
ModelsLess computational complexity and high physics fidelity for large-scale system simulationBuild mathematical models of memristors, combined physical and empirical behavior of devices
Hardware levelPeripheral circuitsEfficient read/write scheme for digital/analog modeUse analog circuits, field programmable gate array (FPGA), and look-up-table (LUT) connected to the chips and approximate circuits
Synaptic circuitsThe operating mechanism is still obscure, the cognition function modeling is not good, and the fault diagnosis system is still in progress.Develop the novel memristive synapse circuit will possess biological synaptic features
Algorithm levelOperationsDevelop a general computing system for data mapping, dot product, and STDPExperimentally build applications with a memristive
crossbar
Training and testing
accuracies
Develop practical network topology and learning
algorithm
Develop hybrid algorithms, and brain-inspired systems consist of both ANNs and SNNs
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Ji, X.; Dong, Z.; Zhou, G.; Lai, C.S.; Yan, Y.; Qi, D. Memristive System Based Image Processing Technology: A Review and Perspective. Electronics 2021, 10, 3176. https://doi.org/10.3390/electronics10243176

AMA Style

Ji X, Dong Z, Zhou G, Lai CS, Yan Y, Qi D. Memristive System Based Image Processing Technology: A Review and Perspective. Electronics. 2021; 10(24):3176. https://doi.org/10.3390/electronics10243176

Chicago/Turabian Style

Ji, Xiaoyue, Zhekang Dong, Guangdong Zhou, Chun Sing Lai, Yunfeng Yan, and Donglian Qi. 2021. "Memristive System Based Image Processing Technology: A Review and Perspective" Electronics 10, no. 24: 3176. https://doi.org/10.3390/electronics10243176

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop