Next Article in Journal
A Custom Sensor Network for Autonomous Water Quality Assessment in Fish Farms
Previous Article in Journal
Remote Laboratory for E-Learning of Systems on Chip and Their Applications to Nuclear and Scientific Instrumentation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Two-Stage Clock-Free Time-to-Digital Converter Based on Vernier and Tapped Delay Lines in FPGA Device

1
Faculty of Electronics, Military University of Technology, 00-908 Warsaw, Poland
2
PIT-RADWAR S.A., 04-051 Warsaw, Poland
*
Author to whom correspondence should be addressed.
Electronics 2021, 10(18), 2190; https://doi.org/10.3390/electronics10182190
Submission received: 5 August 2021 / Revised: 1 September 2021 / Accepted: 3 September 2021 / Published: 7 September 2021
(This article belongs to the Section Microelectronics)

Abstract

:
This article presents an idea, design and test results of a new time-to-digital converter (TDC) implemented in an FPGA device. The high resolution of 13 ps and measurement range of 3.4 ns are achieved based on a two-stage time interpolation (TI). In the first and second stages of the TI we have used the Vernier delay line and a single tapped delay line, respectively. This solution provides respectable metrological parameters without the need to use a clock signal, and significantly saves the logical resources of an integrated circuit (IC). The proposed method, generally based on two different variants of the discrete delay line, is easy to design and implement in digital ICs. For experimental verification, the TDC was implemented in a single programmable device from family Virtex-7 (Xilinx).

1. Introduction

High precision time-to-digital converters are crucial in various applications, such as precise laser range findings [1,2], TOF (time of flight) measurements of particles in high-energy physics [3,4,5], positron emission tomography in medicine [6,7], Raman spectroscopy to study the chemical composition of materials [8], and instruments for space exploration [9,10]. The constant increase in the resolution and precision of TDCs is provided due to the permanent development of both conversion methods and technological processes for their implementation. One of the most popular methods easily implementable in digital ICs is based on the use of a tapped delay line created with discrete delay elements [11]. In such a TDC the resolution is equal to the propagation time of a single delay element, while the measurement range equals the total delay of the line. Therefore, to obtain a wide measurement range and a high resolution, an exceptionally long delay line has to be used, which typically leads to a significant error of conversion linearity. To alleviate the problem, typically a two-stage time interpolation method proposed by Nutt is applied [12]. In this method, a wide measurement range is obtained by counting the periods of a reference clock, while a high resolution can be achieved by using a shorter delay line that provides time interpolation within a single clock period [13,14]. Such a solution significantly shortens the delay line, which simultaneously improves the precision of the time-to-digital conversion. However, taking into account the limited maximum clock frequency usable with typical microelectronic technology and the short propagation times of the delay elements used to build the delay line in the latest ICs, the line length is still relatively long. For example, in a modern FPGA device, the maximum clock frequency is approximately 700 MHz and the propagation time of a single carry chain element, which is commonly used to create the delay line, is 10 ps. Thus, a line of at least 143 elements is needed to cover a single period of the clock signal (TCLK/propagation time of a delay element). This is a fairly large number and still increases as the feature size of the IC devices continues to diminish. It should also be strongly emphasized that in most applications a very wide measurement range, on the order of seconds or more, is not required [15,16] and the additional period counter unnecessarily involves the logical resources of an integrated circuit. Moreover, the counting of high-frequency clock periods necessary in the classic Nutt method can be troublesome and requires the use of additional synchronizers. Furthermore, the applied clock signal should be as stable as possible, otherwise its jitter will deteriorate the precision of the measurement.
It is worth noting that in the latest TDCs a strictly synchronous architecture is also used. Most of the modern FPGA devices incorporate high-speed communication interfaces, such as Serializer/Deserializer blocks (SerDes), which can be used for TDC implementation. For example, in a 96-channel SerDes-based TDC, authors achieved the resolution of 1.2 ns within a measurement range of 304.8 ns [17]. Another article about multichannel TDC for PET imaging resolution, with the use of a similar conversion method, reports achieving a 321.5 ps resolution [18]. Thus, methods based on SerDes favor the implementation of a large number of channels, however, they are characterized by an average resolution and precision [19]. TDCs based on asynchronous or combined conversion methods, although more difficult to implement and duplicate, allow the achievement of a resolution and precision of the order of single picoseconds, within a very wide measurement range [20].
The constant improvement of parameters of the developed TDCs is the result of, inter alia, the continuous development of microelectronic technology used to implement the converters. Modern TDCs are typically developed in CMOS technology as ASIC (Application Specific Integrated Circuit) or FPGA (Field Programmable Gate Array) devices. The first group of ICs offer great freedom of design, including the use of analog methods, and the possibility of obtaining a very high resolution and precision up to 1 ps [21], not available until recently in FPGAs. The latter ICs are more easily available and cost-efficient. The dynamic development of their logical resources has made it possible to implement converters with parameters as high as in ASICs, or sometimes even higher (e.g., with a resolution below 1 ps [22]).
In this paper we propose the two-stage, clock-free TDC based on a new combination of two delay line variants, i.e., the Vernier delay line (VDL) [11,23] in the first interpolation stage and standard tapped delay line (TDL) in the second one. This combination of conversion methods makes it possible to obtain a relatively high resolution within a reasonable measuring range, and can be implemented in a versatile and easily available FPGA device.

2. Method

Figure 1a presents a simplified block diagram of a TDL typically created in FPGA devices with the use of fast carry chain elements and D flip-flops. The START signal is incrementally delayed on each stage of the TDL. When the STOP signal occurs, delayed START signals are registered in flip-flops. Their outputs Qn carry data about the measured time interval (TI) in the thermometric code, which is then converted to the natural binary code. The value of the measured TI is calculated according to the formula:
Tm = q × m,
where q represents the resolution of TDL equal to the delay of a single buffer in the line (tb), and m means the number of flip-flops that were set during the conversion process.
The VDL block diagram is shown in Figure 1b. The START signal is delayed in the line of serially connected latches D with a single delay of td, while the STOP signal is delayed gradually by noninverting buffers with propagation times of tb < td, and with a resolution calculated according to Equation (2). When the STOP signal catches up to the START signal, it comes to coincidence that carries information about the measured TI, which fulfill the relationship (3) [23].
q = tdtb
nx × q < TI < (nx+1) × q.
The principle of a two-stage time interpolation method is based on the coarse time digitization in the first interpolation stage (FIS) and the fine measurement of the residual time interval in the second interpolation stage (SIS). In the proposed TDC the FIS operates according to the Vernier method, and each delay cell of the VDL shortens the measured TI gradually until coincidence of the START and STOP signals occurs (Figure 2). Then the residual TI TInSIS is transmitted to the SIS, where it is quantized by the TDL with the higher resolution qSIS << qFIS.
The value of the measured time interval is calculated as follows:
Tm = qFIS × (N − 1) + qSIS × M
where N and M represent decimal equivalents of conversion results in FIS and SIS, respectively. Since N represents measured data after coincidence, not data of TInSIS just before coincidence, that is why subtraction (N − 1) in mentioned formula is necessary.

3. Design and FPGA-Based Implementation

The block diagram of a designed two-stage TDC is shown in Figure 3. The FIS contains a VDL, a coincidence detector (CD) and a Pararell-In-Pararell-Out (PIPO) register, while the SIS includes the TDL. Both stages are connected via a multiplexer (MUX) that allows the selection and transmittal of residual TI from the FIS to the SIS.
The VDL is designed as two parallel chains of latches D and related Look-Up Tables (LUTs) operating as noninverting buffers. The VDL output measurement data in thermometric code are converted into natural binary code by a fast combinational decoder. Output signals of each delay cell of the VDL are examined by CD to determine in which cell the coincidence occurs (Figure 4). The idea of a coincidence detector operation is based on priority coding. A high logic state on gate output indicates coincidence of signals involved and the end of measurement in FIS. Information from the coincidence detector is used to address the multiplexer for establishing a path for the transmission of residual TI from the FIS to the SIS.
The major design problem was to find a way to efficiently delay the transmission of TInSIS to the MUX inputs. FPGAs have limited logic resources to create exact lengths of paths in an asynchronous approach, especially if those delays have to be relatively long. The idea behind a PIPO register is to delay the signals START and STOP transferred to the multiplexer until its address is established. The multiplexer is the component that connects both interpolation stages. After the coincidence signal reaches the address input, the multiplexer selects register outputs with START and STOP signals from the VDL and CD stage at which the coincidence occurred.
Delay elements in the TDL, which perform fine time quantization, are implemented in the FPGA as multiplexers of fast carry chain delay lines [24]. Data transmission and code conversion are performed in the same manner as in FIS.
The crucial design process during the implementation of TDC in an FPGA device was the layout design and timing analysis. Figure 5 shows the complete topographic design of the developed TDC. Both the VDL and the TDL were placed vertically in adjacent columns of embedded logic cells to obtain possibly similar net delays and minimize the nonlinearity error. Each delay cell of the VDL, which contains a single latch D and LUT, is created with the use of a single logic cell [24].
Unfortunately, the STOP signal cannot be transmitted to the SIS through a global clock buffer because it introduces a too-long delay that distorts the measured TI. To avoid this problem the sophisticated design timing constraints were used, and they allow achieving a negligible signals skew. The MUX and the coincidence detector are placed parallel to the VDL. Such mutual location of those blocks provided possibly short interconnections, which was crucial in the timing of the signals transmitted from AND gates to address the input of the multiplexer. On the contrary, the PIPO register was placed in an arbitrarily long distance away from the FIS to increase the propagation times of the signals START and STOP, enough to set the multiplexer address safely before the transmitted TI appears at its data inputs. Moreover, to obtain possibly the same delays of connections and fulfill timing requirements for the multiplexer the paths segmentation [25] was necessary.
Table 1 presents a comparison of the implementation of three selected TDCs in terms of resource utilization. Only the resources utilized strictly by the TDC were considered. Numbers of Slice registers and Slice LUTs indicate resource utilization within occupied FPGA slices. On the other hand, the number of BUFG results from the number of clock signals involved in the design and the way they were distributed inside the device. The Classical Two-Stage TDC and the TDC proposed in the article were implemented in the Virtex-7 device, while the low resource TDC was implemented in the Virtex-5 device. However, it should be noted here that both Xilinx device series (Virtex-7 and Virtex-5) differ in speed due to different production technologies, but both have the same logic block architecture ASMBL (Application Specific Modular Block Architecture), so they are suitable for comparison in the context of the logical resources’ occupancy. The low resource TDC, based on an oversampling method, has the lowest resource utilization, but provides a rather moderate resolution of 625 ps [26]. Since its resolution depends on the clock frequency, it is technology independent. The classical two-stage interpolation method [27] provides a high resolution of 45 ps but at the expense of a very high occupancy of FPGA logical resource. This is the result of a less sophisticated floor planning than for the proposed clock-free method. The designed two-stage TDC requires symmetric and parallel floor planning, which helps to achieve evenly distributed data paths and ensures meeting timing constraints. Since the proposed new method is clock-free, it naturally saves clock resources, including drastically reducing the number of BUFGs. Classical two-stage TDC implementation utilizes seven buffers of BUFG (one for input clocks, four for multiphase clocks from MMCM, two for TDLs), which is almost 25% of BUFG resources of the Virtex-7 (Xilinx) FPGA device. Authors of the low resource TDC did not provide in their reported results the number of BUFGs used; however, the implementation of 4xOversampling method with a multi-phase clock requires the use of at least four clock buffers. It is also worth noting that in addition to saving logical resources, the proposed clock-free method significantly limits device power dissipation, especially for high-speed clock signals commonly used in modern TDCs.

4. Results and TDC Parameters

The designed TDC was implemented in an FPGA device Virtex-7 (Xilinx) manufactured in 28 nm CMOS technology. Experimental tests were performed with the use of a development board VC707 (Xilinx), a pulse generator Agilent 81130A, and a control PC. A test setup basic block diagram is shown in Figure 6.
Resolutions of both interpolation stages of TDC were evaluated with the aid of a statistical code density test [28,29]. The coarse resolution qFIS evaluated for the FIS equals 95 ps, and it was calculated according to the VDL resolution formula:
qFIS = TPLATPLU
where TPLA is the mean propagation time of the Latch-based delay line and TPLU is the mean propagation time of the LUT-based delay line.
The fine resolution qSIS of the SIS, being the final resolution of the whole TDC, is approximately 13 ps and it was derived as propagation delay TPMUXCY of a single MUXCY of the multiplexers carry chain (qSIS = TPMUXCY). According to the timing experiment performed in the development system Vivado IDE, the CARRY4 primitive in the Virtex-7 device introduces a delay of 53 ps, so the propagation time of a single MUXCY multiplexer is approximately 13.25 ps.
The obtained measurement range is relatively wide and equal to 3.4 ns. Its value follows from the VDL measurement range, which is the difference between the total delay introduced by the LUT-based delay line and the total delay of the Latch-based delay line.
To verify the correctness and precision of the proposed combination of conversion methods, several series of TIs measurements were performed. We executed 16 series of 512 measurements each, within the TI range from 0.2 ns to 3.4 ns changed with a 200 ps step. The obtained results are shown in Figure 7 and Figure 8. The transfer function of the TDC (Figure 7) is monotonic but relatively nonlinear (Table 2).
The TDC measurement uncertainty, presented in Figure 8, is not worse than 14 ps for most of the measurement range. However, one significant increase to the value of 21 ps is observed. We see its reason in the significant differences in the signal paths delays in the design. The delays introduced by both logical resources and interconnect paths are crucial for the proposed TDC. There are five logic levels between the FIS and the SIS (one for the PIPO register and four for the MUX LUTs). All those logic elements contribute to increasing systematic (propagation delay) and random errors (jitter). Fortunately, a clock-free design concept frees the TDC from additional errors of using the clock.
Despite efficient floorplanning, the implemented MUX input paths do not have the perfect same lengths. This can cause undesirable measurement uncertainty extremes that are evident in both, the conversion and precision characteristics, around a TI of 0.8 ns. Detailed analysis of the design implementation in the part from the VDL LUTs to the MUX input showed that the lengths of the paths responsible for transmitting the residual TI of approximately 1 ns differ the most. This effect increases systematic error and measurement uncertainty by introducing an additional delay on the STOP channel. Unfortunately, there is no means to set equal nets for fully combinatorial paths in an FPGA device.

5. Conclusions

In this paper we present the proof-of-concept design, implementation, and experimental verification of a new, two-stage time-to-digital conversion method based generally on the use of discrete delay lines in an FPGA device. The proposed solution characterizes several advantages, such as a high resolution within a reasonable wide measurement range and a relative ease of implementation of the interpolation stages in commonly used and inexpensive reprogrammable devices. Although a fully asynchronous design can be challenging, it introduces savings of the logical and clock resources of the FPGA device. The clock-free approach enables the application of proposed TDC in low power designs and devices with a limited number of clock buffers. The proposed method creates great opportunities for both extending the measurement range and increasing the resolution. The first parameter can be increased by extending the VDL, while an improvement in the second parameter can be obtained by using in the second stage of interpolation a slightly more complex conversion method based on TDL, e.g., wave union principle [30], multiple independent coding lines [20], combinations of both mentioned methods [31], or based on the use of DSP blocks [32], all easily implementable in digital programmable ICs. Future work should be focused on improving the implementation of data paths.

Author Contributions

Conceptualization, methodology, formal analysis, validation and funding acquisition R.S.; Design, implementation and validation A.C.; Writing—original draft, review and editing R.S. and A.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by Military University of Technology, grant number UGB 851.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Jansson, J.-P.; Koskinen, V.; Mäntyniemi, A.; Kostamovaara, J. A Multichannel High-Precision CMOS Time-to-Digital Converter for Laser-Scanner-Based Perception Systems. IEEE Trans. Instrum. Meas. 2012, 61, 2581–2590. [Google Scholar] [CrossRef]
  2. Maamoun, M.; Arami, S.; Beguenane, R.; Benbelkacem, A.; Meraghni, A. A 3 ps Resolution Time-to-digital Converter in Low-cost FPGA for Laser Rangefinder. In Proceedings of the World Congress on Engineering 2017 (WCE), London, UK, 5–7 July 2017; Volume 1. [Google Scholar]
  3. Russo, S.; Petra, N.; De Caro, D.; Barbarino, G.; Strollo, A.G.M. A 41ps ASIC time-to-digital converter for physics experiments. Nucl. Instrum. Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2011, 659, 422–427. [Google Scholar] [CrossRef]
  4. Neiser, A.; Adamczewski-Musch, J.; Hoek, M.; Koenig, W.; Korcyl, G.; Linev, S.; Maier, L.; Michel, J.; Palka, M.; Penschuck, M.; et al. TRB3: A 264 channel high precision TDC platform and its applications. J. Instrum. 2013, 8, C12043. [Google Scholar] [CrossRef]
  5. Aidala, C.; Arrington, J.; Ayuso, C.; Bowen, B.; Bowen, M.; Bowling, K.; Brown, A.; Brown, C.; Byrd, R.; Carlisle, R.; et al. The SeaQuest spectrometer at Fermilab. Nucl. Instrum. Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2019, 930, 49–63. [Google Scholar] [CrossRef] [Green Version]
  6. Swann, B.K.; Blalock, B.J.; Clonts, L.G.; Binkley, D.M.; Rochelle, J.M.; Breeding, E.; Baldwin, K.M. A 100-ps time-resolution CMOS time-to-digital converter for positron emission tomography imaging applications. IEEE J. Solid-State Circuits 2004, 39, 1839–1852. [Google Scholar] [CrossRef]
  7. Hong, K.J.; Kim, E.; Yeom, J.Y.; Olcott, P.D.; Levin, C.S. FPGA-Based Time-to-Digital Converter for Time-of-Flight PET Detector. In Proceedings of the 2012 IEEE Nuclear Science Symposium and Medical Imaging Conference Record (NSS/MIC), Anaheim, CA, USA, 29 October–3 November 2012; pp. 2463–2465. [Google Scholar]
  8. Nissinen, I.; Nissinen, J.; Holma, J.; Kostamovaara, J. A TDC-Based 4 × 128 CMOS SPAD Array for Time-Gated Raman Spectroscopy. In Proceedings of the ESSCIRC 2014—40th European Solid State Circuits Conference (ESSCIRC), Venice Lido, Italy, 22–26 September 2014; pp. 139–142. [Google Scholar]
  9. Karadamoglou, K.; Paschalidis, N.; Sarris, E.; Stamatopoulos, N.; Kottaras, G.; Paschalidis, V. An 11-bit High-Resolution and Adjustable-Range CMOS Time-to-Digital Converter for Space Science Instruments. IEEE J. Solid-State Circuits 2004, 39, 214–222. [Google Scholar] [CrossRef]
  10. Karadamoglou, K.; Paschalidis, N.; Stamatopoulos, N.; Kottaras, G.; Paschalidis, V.; Sarris, E. A 32 Bit, High Resolution, Asynchronous Time to Digital Converter for Space Instruments. In Proceedings of the 2004 IEEE Aerospace Conference Proceedings (IEEE Cat. No.04TH8720), Big Sky, MT, USA, 6–13 March 2004; Volume 4, pp. 2398–2403. [Google Scholar]
  11. Szplet, R. Time-to-Digital Converters. In Design, Modeling and Testing of Data Converters; Springer: Berlin/Heidelberg, Germany, 2014; pp. 211–246. [Google Scholar]
  12. Nutt, R. Digital Time Intervalometer. Rev. Sci. Instrum. 1968, 39, 1342. [Google Scholar] [CrossRef]
  13. Szymanowski, R.; Kalisz, J. Integrated Time Counter with 200 Ps Resolution. In Proceedings of the Norchip Conference, Oslo, Norway, 8–9 November 2004; pp. 207–210. [Google Scholar]
  14. Jansson, J.-P.; Mäntyniemi, A.; Kostamovaara, J. A CMOS Time-to-Digital Converter with Better Than 10 ps Single-Shot Precision. IEEE J. Solid-State Circuits 2006, 41, 1286–1296. [Google Scholar] [CrossRef]
  15. Staszewski, R.; Leipold, D.; Hung, C.-M.; Balsara, P. TDC-Based Frequency Synthesizer for Wireless Applications. In Proceedings of the 2004 IEE Radio Frequency Integrated Circuits (RFIC) Systems, Forth Worth, TX, USA, 6–8 June 2004; pp. 215–218. [Google Scholar]
  16. Tsai, T.-H.; Yuan, M.-S.; Chang, C.-H.; Liao, C.-C.; Li, C.-C.; Staszewski, R. A 1.22ps Integrated-Jitter 0.25-to-4GHz Fractional-N ADPLL in 16nm FinFET CM0S. Dig. Tech. Pap. IEEE Int. Solid State Circuits Conf. 2015, 58, 260–261. [Google Scholar] [CrossRef]
  17. Bogdan, M.; Frisch, H.; Heintz, M.; Paramonov, A.; Sanders, H.; Chappa, S.; DeMaat, R.; Klein, R.; Miao, T.; Wilson, P.; et al. A 96-channel FPGA-based Time-to-Digital Converter (TDC) and fast trigger processor module with multi-hit capability and pipeline. Nucl. Instrum. Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2005, 554, 444–457. [Google Scholar] [CrossRef] [Green Version]
  18. Arpin, L.; Bergeron, M.; Tetrault, M.-A.; LeComte, R.; Fontaine, R. A Sub-Nanosecond Time Interval Detection System Using FPGA Embedded I/O Resources. IEEE Trans. Nucl. Sci. 2010, 57, 519–524. [Google Scholar] [CrossRef]
  19. Arabul, E. A Precise High Count-Rate Multi-Channel Coincidence Counting Instrument for Quantum Photonics Applications. Ph.D. Thesis, The University of Bristol, Bristol, UK, September 2020. [Google Scholar]
  20. Szplet, R.; Jachna, Z.; Kwiatkowski, P.; Różyc, K. A 2.9 ps equivalent resolution interpolating time counter based on multiple independent coding lines. Meas. Sci. Technol. 2013, 24, 035904. [Google Scholar] [CrossRef]
  21. Mantyniemi, A.; Rahkonen, T.; Kostamovaara, J. A CMOS Time-to-Digital Converter (TDC) Based on a Cyclic Time Domain Successive Approximation Interpolation Method. IEEE J. Solid-State Circuits 2009, 44, 3067–3078. [Google Scholar] [CrossRef]
  22. Szplet, R.; Kwiatkowski, P.; Jachna, Z.; Różyc, K. An Eight-Channel 4.5-ps Precision Timestamps-Based Time Interval Counter in FPGA Chip. IEEE Trans. Instrum. Meas. 2016, 65, 2088–2100. [Google Scholar] [CrossRef]
  23. Dudek, P.; Szczepanski, S.; Hatfield, J. A high-resolution CMOS time-to-digital converter utilizing a Vernier delay line. IEEE J. Solid-State Circuits 2000, 35, 240–247. [Google Scholar] [CrossRef]
  24. Aloisio, A.; Branchini, P.; Cicalese, R.; Giordano, R.; Izzo, V.; Loffredo, S. FPGA Implementation of a High-Resolution Time-to-Digital Converter. In Proceedings of the 2007 IEEE Nuclear Science Symposium Conference Record, Forth Worth, TX, USA, 6–8 June 2004. [Google Scholar] [CrossRef]
  25. Xilinx Inc. Vivado Design Suite User Guide: Using Constraints; User Guide, UG903; Xilinx Inc.: San Jose, CA, USA, 2018. [Google Scholar]
  26. Balla, A.; Beretta, M.M.; Ciambrone, P.; Gatta, M.; Gonnella, F.; Iafolla, L.; Mascolo, M.; Messi, R.; Moricciani, D.; Riondino, D. The characterization and application of a low resource FPGA-based time to digital converter. Nucl. Instrum. Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2014, 739, 75–82. [Google Scholar] [CrossRef]
  27. Szplet, R.; Kalisz, J.; Jachna, Z. A 45 ps time digitizer with a two-phase clock and dual-edge two-stage interpolation in a field programmable gate array device. Meas. Sci. Technol. 2009, 20, 025108. [Google Scholar] [CrossRef]
  28. Cova, S.; Bertolaccini, M. Differential linearity testing and precision calibration of multichannel time sorters. Nucl. Instrum. Methods 1970, 77, 269–276. [Google Scholar] [CrossRef]
  29. Sondej, D.; Szymanowski, R.; Szplet, R. Methods for Determining Equivalent Transfer Characteristic of Precision Time-to-Digital Converter with Discrete Delay Line. Metrol. Meas. Syst. 2021, 28, 4. [Google Scholar]
  30. Wu, J.; Shi, Z. The 10-Ps Wave Union TDC: Improving FPGA TDC Resolution beyond Its Cell Delay. In Proceedings of the 2008 IEEE Nuclear Science Symposium Conference Record, Dresden, Germany, 19–25 October 2008; pp. 3440–3446. [Google Scholar]
  31. Chaberski, D.; Frankowski, R.; Gurski, M.; Zieliński, M. Comparison of Interpolators Used for Time-Interval Measurement Systems Based on Multiple-Tapped Delay Line. Metrol. Meas. Syst. 2017, 24, 401–412. [Google Scholar] [CrossRef]
  32. Kwiatkowski, P. Employing FPGA DSP Blocks for Time-to-Digital Conversion. Metrol. Meas. Syst. 2019, 26, 631–643. [Google Scholar] [CrossRef]
Figure 1. Block schematics of (a) Tapped delay line (TDL), (b) Vernier delay line (VDL).
Figure 1. Block schematics of (a) Tapped delay line (TDL), (b) Vernier delay line (VDL).
Electronics 10 02190 g001
Figure 2. Two-stage time interpolation method.
Figure 2. Two-stage time interpolation method.
Electronics 10 02190 g002
Figure 3. Simplified block diagram of two-stage TDC.
Figure 3. Simplified block diagram of two-stage TDC.
Electronics 10 02190 g003
Figure 4. Schematic of the FIS.
Figure 4. Schematic of the FIS.
Electronics 10 02190 g004
Figure 5. Implementation of two-stage TDC in FPGA Virtex-7 (Xilinx).
Figure 5. Implementation of two-stage TDC in FPGA Virtex-7 (Xilinx).
Electronics 10 02190 g005
Figure 6. Block diagram of the test setup.
Figure 6. Block diagram of the test setup.
Electronics 10 02190 g006
Figure 7. TDC transfer function.
Figure 7. TDC transfer function.
Electronics 10 02190 g007
Figure 8. TDC conversion precision.
Figure 8. TDC conversion precision.
Electronics 10 02190 g008
Table 1. Comparison of the three TDC implementations by resources utilization.
Table 1. Comparison of the three TDC implementations by resources utilization.
UtilityLow Resource TDC (Resolution 625 ps) [26]Classical Two-Stage TDC (Resolution 45 ps) [27]Proposed Two-Stage TDC
(Resolution 13 ps)
Slice registers61238169
Slice LUTs24215183
BUFG≥470
Table 2. Linear regression parameters of transfer function.
Table 2. Linear regression parameters of transfer function.
ParameterValue (ns)
Mean of predictors1.8
Mean of observations1.89
Intercept0.28
Slope0.89
Regression equationy = 0.89x + 0.28
RMSE0.104
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Szplet, R.; Czuba, A. Two-Stage Clock-Free Time-to-Digital Converter Based on Vernier and Tapped Delay Lines in FPGA Device. Electronics 2021, 10, 2190. https://doi.org/10.3390/electronics10182190

AMA Style

Szplet R, Czuba A. Two-Stage Clock-Free Time-to-Digital Converter Based on Vernier and Tapped Delay Lines in FPGA Device. Electronics. 2021; 10(18):2190. https://doi.org/10.3390/electronics10182190

Chicago/Turabian Style

Szplet, Ryszard, and Arkadiusz Czuba. 2021. "Two-Stage Clock-Free Time-to-Digital Converter Based on Vernier and Tapped Delay Lines in FPGA Device" Electronics 10, no. 18: 2190. https://doi.org/10.3390/electronics10182190

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop