Next Article in Journal
Aggregation, Sedimentation and Dissolution of Cu(OH)2-Nanorods-Based Nanopesticide in Soil Solutions
Next Article in Special Issue
Carbon Nanodots as Electron Transport Materials in Organic Light Emitting Diodes and Solar Cells
Previous Article in Journal
Resorbable Nanomatrices from Microbial Polyhydroxyalkanoates: Design Strategy and Characterization
Previous Article in Special Issue
Evolution Application of Two-Dimensional MoS2-Based Field-Effect Transistors
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Recent Progress in Contact Engineering of Field-Effect Transistor Based on Two-Dimensional Materials

1
Department of Electrical Engineering and Computer Science, Ningbo University, Ningbo 315211, China
2
School of Micro-Nano Electronics, Hangzhou Global Scientific and Technological Innovation Centre, Zhejiang University, 38 Zheda Road, Hangzhou 310027, China
3
Key Laboratory of Optoelectronic Chemical Materials and Devices of Ministry of Education, Jianghan University, Wuhan 430056, China
*
Authors to whom correspondence should be addressed.
Nanomaterials 2022, 12(21), 3845; https://doi.org/10.3390/nano12213845
Submission received: 30 September 2022 / Revised: 23 October 2022 / Accepted: 26 October 2022 / Published: 31 October 2022

Abstract

:
Two-dimensional (2D) semiconductors have been considered as promising candidates to fabricate ultimately scaled field-effect transistors (FETs), due to the atomically thin thickness and high carrier mobility. However, the performance of FETs based on 2D semiconductors has been limited by extrinsic factors, including high contact resistance, strong interfacial scattering, and unintentional doping. Among these challenges, contact resistance is a dominant issue, and important progress has been made in recent years. In this review, the Schottky–Mott model is introduced to show the ideal Schottky barrier, and we further discuss the contribution of the Fermi-level pinning effect to the high contact resistance in 2D semiconductor devices. In 2D FETs, Fermi-level pinning is attributed to the high-energy metal deposition process, which would damage the lattice of atomically thin 2D semiconductors and induce the pinning of the metal Fermi level. Then, two contact structures and the strategies to fabricate low-contact-resistance short-channel 2D FETs are introduced. Finally, our review provides practical guidelines for the realization of high-performance 2D-semiconductors-based FETs with low contact resistance and discusses the outlook of this field.

1. Introduction

The development of silicon complementary metal–oxide semiconductor (CMOS) integrated circuits has followed Moore’s law for several decades. With the downscaling of the transistor dimensions into sub−20 nanometer nodes, two main challenges emerge, including drain-induced barrier lowering and degradation of the carrier mobility of Si. The former effect makes the transistor hard to turn off and results in a high off-current, which will cause high static power consumption. Emerging technologies such as FinFETs and Gate-All-Around (GAA) FETs have been employed to decrease the off-current. The mobility degradation is caused by the strong interfacial scattering, especially when the semiconductor thickness (tb) is in the sub−3−nm regime [1]. Since the discovery of graphene, two-dimensional (2D) materials with atomic thickness exhibit high carrier mobility, even at tb < 3 nm [1], and show high immunity to the short-channel effect. These unique properties contribute to making 2D materials promising candidates to fabricate ultimately scaled transistors.
Although 2D materials present a unique pathway to build next-generation electronic devices, the construction of 2D-materials-based transistors (2D FETs) faces several technical challenges, including the growth of high-quality wafer-scale 2D materials [2], wafer-scale transfer methods [3], low contact resistance [4], and the high-quality dielectric interface [5]. These technical issues lead to large device-to-device variation [6] and the apparent discrepancy between the theoretical prediction and actual device performance, limiting the industrial applications of 2D materials in logic devices. Among these challenges, the contact problems are of vital important because the working mechanism of 2D FETs is based on the control of charge injection at the metal/2D junction, which is quite different from silicon CMOS transistors [4]. Recent studies show tremendous advances in the achievement of the ideal Mott–Schottky contact and the lowering of the contact resistance in 2D FETs [7,8,9]. The value of the contact resistance in 2D-materials-based transistors is approaching the requirement of the International Roadmap for Devices and Systems (IRDS) 2024 targets of logic transistors [10]. It is believed that a summary of emerging strategies to realize contact engineering in 2D FETs is urgently needed.
In this review, we present a comprehensive analysis of contact challenges in 2D FETs and discuss the recent research progress. We start with the origins of high contact resistance in 2D FETs. Then, two contact structures are presented, including top contact and edge contact, followed by several strategies to decrease the contact resistance. Finally, an outlook is provided to present the possible roadmap for the contact engineering of 2D FETs.

2. Fermi-Level Pinning

2.1. Fermi-Level Pinning and Pinning Factor

The Schottky barrier height ( Φ SB ) and the contact resistance (Rc) are important quantitative parameters to examine the quality of the metal–2D material junction. In an ideal metal–semiconductor junction, Φ SB is determined by the Schottky–Mott rule based on the energy-level band alignment [11,12]:
Φ SB , n = Φ M χ s  
Φ SB , p = I s Φ M  
where Φ SB , n and Φ SB , p are the Schottky barrier heights for electrons and holes transport, Φ M represents the metal work function, χ s represents the electron affinity and I s represents the ionization potential of the semiconductor. The Φ SB is linearly dependent on the metal work function in the Schottky–Mott model, as shown in Figure 1a. However, the metal work function in FETs is always derived from the theoretical value, and it is pinned on a specific position within the bandgap of the semiconductor regardless of the selection of different metals, as shown in Figure 1b. This effect is called Fermi-level pinning, which makes the metal–semiconductor junction insensitive to the modulation of the metal work function. The pinning factor S represents the strength of Fermi-level pinning:
S = | d Φ SB / d Φ M |  
The value of S in an ideal device is nearly equal to 1, but S is usually far away from 1 in 2D FETs. Liu et al. and Kim et al. demonstrated the S value of ~0.1 in MoS2 FETs with deposited metal contact [13,14]. The Fermi-level pinning effect strongly limits the performance of 2D semiconductor FETs.

2.2. Origins of Fermi-Level Pinning

Tersoff et al. successfully established a parameter-free metal-induced gap states (MIGS) model in bulk semiconductors to explain the Fermi-level pinning effect. The MIGS model can quantitatively explain the almost unchanged Schottky barrier height, which is independent of the metal work function in experiments [15]. Guo et al. employed the density functional theory (DFT) calculation to calculate the Schottky barrier height of 2D transition metal dichalcogenides (TMDs) by using different metal contacts. The calculated pinning factor is around 0.3, demonstrating a strong Fermi-level pinning effect. They found that direct bonding existed between the contact metal atoms and the chalcogen atoms of TMDs, leading to the MIGS [16]. The charge neutrality level serves as a quantitative characteristic of the electronic states of the defective semiconductor surface. Dominik et al. employed the primary theoretical model to calculate the charge neutrality level (CNL) of the monolayer TMDs, and they found that CNL is mostly placed near the mid-point of the semiconducting band gaps [17]. Although they use different theoretical calculation methods, both studies demonstrate that the MIGS can well-explain the Fermi-level pinning effect in 2D TMDs. Fermi-level pinning in 2D FETs mainly originates from the interfacial states. In the early stage of the study, Au, Ni and Pt with a high melting temperature are selected as the metal contact of 2D FETs, and they are deposited by evaporation or sputtering techniques, as shown in Figure 1a,b. This leads to the compact stacking of metal atoms on the surface of 2D layers, the wavefunction interaction between the metal and 2D semiconductor, and the rehybridizations of the semiconductor’s original wavefunctions, resulting in the strong orbital overlap and MIGS. Photolithography and electron-beam lithography are common techniques to pattern the electrodes on 2D materials. Compared with bulk semiconductors, atomically thin 2D materials are sensitive to laser [18], electron-beam [19,20] and chemical solution [21]. Matsunage et al. reported that a relatively low electron-beam dose (280 μC/cm2) used in conventional electron-beam lithography will induce strain in MoS2, leading to the local widening of the MoS2 bandgap [22]. Preeti et al. systemically reported the doping effect of the conventional lithography process and the used chemical solvent. For example, acetone shows n-type doping and chloroform displays p-type doping on MoS2 [23]. Meanwhile, the high-energy deposition process generates atomic defects at the interface of metal and 2D layers, facilitates the formation of covalent bonds [24,25], and gives rise to MIGS. Liu et al. experimentally showed that the typical metal deposition process induced defects at the contact region, which were observed by transmission electron microscope [13]. In 2D FETs with deposited metal contact, the injected charges are accumulated at the interfacial gap states regardless of the modulation of the metal work function, as shown in Figure 1c. The Fermi level is pinned around these gap states and a Schottky barrier is unavoidable, as shown in Figure 1d. Furthermore, heavy doping via ion implantation is employed in Si CMOS FETs to realize Fermi-level depinning and successfully achieve low contact resistance. However, the ion implantation cannot be well implemented on 2D materials. The implantation process will generate a large number of defects in atomically thin 2D materials and degrade the carrier transport.
Overall, the interface defects of the 2D semiconductor can induce the MIGS and greatly affect the contact quality. Furthermore, the conventional Fermi-level depinning methods in Si CMOS FETs are not suitable for 2D FETs. Therefore, the specific contact strategies should be tailored to meet the requirement of 2D FETs.
Figure 1. Origin and influence of Fermi-level pinning. (a) Expected metal Fermi level with the electronic bands of MoS2 and different metal electrodes with different work function. (b) Transfer curves with different metals. The inset shows the actual line-up based on the experimental data. Reprinted/adapted with permission from Ref. [26]. Copyright 2012, American Chemical Society. (c) Experimentally determined Schottky barrier height for transfer of different transfer metals and evaporated metals. Reprinted/adapted with permission from Ref. [13]. Copyright 2018, Springer Nature. (d) Schematic illustration of Fermi-level pinning. Reprinted/adapted with permission from Ref. [27]. Copyright 2017, American Chemical Society.
Figure 1. Origin and influence of Fermi-level pinning. (a) Expected metal Fermi level with the electronic bands of MoS2 and different metal electrodes with different work function. (b) Transfer curves with different metals. The inset shows the actual line-up based on the experimental data. Reprinted/adapted with permission from Ref. [26]. Copyright 2012, American Chemical Society. (c) Experimentally determined Schottky barrier height for transfer of different transfer metals and evaporated metals. Reprinted/adapted with permission from Ref. [13]. Copyright 2018, Springer Nature. (d) Schematic illustration of Fermi-level pinning. Reprinted/adapted with permission from Ref. [27]. Copyright 2017, American Chemical Society.
Nanomaterials 12 03845 g001

3. Contact Engineering of 2D FETs

FETs based on 2D materials can realize the ultimate downscaling of transistor dimensions. Along with the scaling of channel length, the scaling of contact region will produce new challenges. The most straightforward effect is the increase in contact resistance in the scaled contact region. The transfer length is the effective length with which carriers are transferred from the contact metal to channels. According to the current crowding model [28], carriers prefer to be transferred inside the more conductive metal and enter the semiconductor only near the end of metal–semiconductor contact regions, leading to a much smaller transfer length in comparison with the contact length. In this condition, the contact resistance is dependent on the transfer length, rather than the contact length, and its value can be calculated by the distributed resistor network model [29]. When the contact length is equal to or smaller than the transfer length, the charge injection is limited by the contact length. Therefore, a high-quality metal–semiconductor contact becomes more important in 2D FETs for ultra-scaled integrated circuits.
In order to overcome the strong Fermi-level pinning effect in 2D FETs, great progress has been made in the optimized metal-deposition process and the efficient carrier-modulation methods. In this section, state-of-the-art contact engineering strategies are systematically discussed.

3.1. Top Contact Engineering

Top contact refers to the deposition of metal contact on the surface of 2D layers. Due to the large surface-to-edge ratio, top contact is widely used in 2D FETs, and the fabrication process is simple and efficient. In this part, we introduce the use of bulk metals, bulk semimetals and 2D metals as top contact to optimize the contact of 2D FETs.

3.1.1. Bulk Metals

Defects in 2D materials, including intrinsic defects and the generated defect during the fabrication process, are the main origins of the Fermi-level pinning effect. Defects contribute to the interfacial trap states, which is directly related to the Fermi-level pinning effect. In early studies, bulk metals with low work function were used to achieve high-performance n-type 2D FETs. Kwon et al. reported the use of Al as a contact metal in MoS2 FETs and obtained a Schottky barrier height of 70 meV [30]. Das et al. reported the use of Sc as a contact metal in MoS2 FETs [26] due to the low work function of Sc (3.5 eV). An average Schottky barrier of 0.03 eV was achieved, which represents a very low contact barrier at that time. However, from the Schottky–Mott rule, the ideal Schottky barrier between Sc and MoS2 should be negative because the work function of Sc is much lower than the CBM of MoS2. The positive Schottky barrier experimentally demonstrates Fermi-level pinning. In order to avoid the generation of defects during the metal-deposition process, transferred metal methods have been developed to preserve a sharp and clean interface between metal and 2D layers. Liu et al. reported realization of the van der Waals (vdW) contact through mechanically transferring metals to avoid chemical bonding and defect-induced gap states, as shown in Figure 2a,b. Owing to the atomically clean interface between metal and semiconductor, the majority carrier type and corresponding Schottky barrier height are strongly dependent on the metal work function ( S = 0.96 ) [13]. Wang et al. further reported that the transferred metal can achieve low contact resistance in p-type WSe2 FETs [31]. Due to the successful Fermi-level depinning, the work function of the transferred metal plays an important role in determining the charge injection and the device performance. Han et al. reported the use of molecular functionalization to change the work function of gold electrodes. Then, they fabricated top-contact FETs via the transfer of these pre-modified electrodes to tune the charge injection in MoS2 FETs [32], demonstrating the modulation of the Schottky barrier. This method has also been used in 2D-materials-based resistive random-access memory, leading to a stable resistive switching performance [33]. Therefore, the transferred metal method represents a reliable way to create vdW contact [34], but wafer-scale metal transfer technology is still lacking. Moreover, the metal transfer process is mostly operated under the optical microscope and the alignment error is still huge, which is another big challenge.
The conventional metal-deposition process can be optimized to realize low contact resistance. Chris et al. reported that Au deposited in ultra-high vacuum (~10−9 Torr) yields three times lower contact resistance than that in normal conditions, as shown in Figure 2c [35]. Wang et al. reported high performance p-type FETs based on single− and few−layer MoS2 and WSe2 by the electron-beam evaporation of high-work-function metals such as Pd and Pt, as shown in Figure 2d [8]. They conducted the metal evaporation at a high vacuum (<10−8 torr) and low temperature (18 °C to 36 °C) to avoid high temperature damage to 2D semiconductors and to form vdW contact between the metal and semiconductor interface. Wang et al. reported the employment of In metal to build van der Waals contact with 2D semiconductors [36]. Due to the low melting point of In, the temperature of the 2D sample can be greatly decreased and a high-quality vdW interface can form, resulting in the Fermi-level depinning. Furthermore, In metal can be used to form stable alloys with other metals to modulate the work function. The use of In alloy as contact shows the advantages in the preservation of a high-quality contact interface and the effective tunability of the Schottky barrier. Kumar et al. employed In/Au alloy and Sn/Au alloy as contact electrodes in monolayer MoS2 FETs, and they achieved an ultra-low contact resistance of 190 Ω·μm for In/Au alloy and 270 Ω·μm for Sn/Au alloy [37]. The use of metal alloys increases the thermal stability of low-melting-point metals and results in ~450 °C temperature tolerance that is compatible with back-end-of-line (BEOL).

3.1.2. Bulk Semimetals

Recently, a powerful strategy was demonstrated by adopting semi-metals as contact electrodes to suppress MIGS and the Fermi-level pinning effect [7,38]. Shen et al. used semi-metal Bi as the n-type contact metal in monolayer MoS2 FETs [7]. Bi as a semi-metal has a negligible density of state at the Fermi level, and this induces the suppression of MIGS, as shown in Figure 3a,b. Furthermore, the use of Bi contact results in the degenerately doped MoS2 with a high electron density of 1.5 × 1013 cm−2, and the Fermi level shifts from inside the bandgap to above the conduction band minimum. They achieved an ultralow contact resistance of 123 Ω·μm and a high current density of 1135 μA μm−1 in a 35−nm channel length MoS2 FETs, as shown in Figure 3c,d. Owing to the highly efficient carrier injection between Bi and MoS2, the drain current density increased by lowering the temperature from room temperature to 77 K, as shown in Figure 3e. The values of Bi−MoS2 contact resistance are comparable to those Si transistors and approach the quantum limit, as shown in Figure 3f. However, it has been observed that the MoS2 FETs with Bi electrodes degraded severely after annealing at 300 and 400 °C [39]. Chou et al. reported semimetal antimony (Sb) as a novel contact metal to enable 2D materials towards advanced electronic device applications. They obtained a near-zero Schottky barrier height and a low contact resistance of 0.66 kΩ·μm [39]. Compared with Bi contact electrodes, the melting point of Sb (630 °C) is much larger than that of Bi (271 °C), although Sb has a higher work function than Bi. The transfer curves of MoS2 FETs with Sb electrodes show a better electrical performance after high-temperature annealing. Overall, the use of semi-metal as a contact can greatly reduce MIGS and realize Fermi-level depinning in 2D FETs.

3.1.3. Two-Dimensional Metals/Semimetals

The MIGS are commonly found at the interface between 3D metal and 2D semiconductors. Liu et al. theoretically found that the interface states in the metal–semiconductor junction mainly derive from the 3D metal rather than the 2D semiconductor [40]. Therefore, they suggested replacing the 3D bulk metal with 2D metals. In their works, they predict that the Fermi-level pinning effect can be greatly suppressed when the 2D metal–2D semiconductor interface is well formed. The existence of a van der Waals distance between the 2D metal and the 2D semiconductor (3 to 4 Å) leads to the weak interlayer interaction, mild orbital overlap and the creation of interface dipole, contributing to Fermi-level depinning. Two-dimensional layered materials with metallic properties, such as graphene, 1T−MoS2 and PtSe2, can be used to form vdW contacts on 2D semiconductors. Majumdar et al. employed 2H−TaSe2, graphene and degenerately-doped semiconducting SnSe2 as contact metals [41]. They demonstrated that vdW contacts exhibited a universal Fermi-level depinning phenomenon, as shown in Figure 4a.
We present the theoretical band alignment of MoS2 and WSe2 with different 2D metals/semimetals, as shown in Figure 4b [42]. The stacking of 2D metals on 2D semiconductors leads to the ideal Schottky junction or Ohmic contact by selecting 2D metallic materials with suitable work function. Liu et al. also employed graphene as contact electrodes and further encapsulated the MoS2 channel with top and bottom hexagonal boron nitride (h−BN). The MoS2 FETs reached a high field-effect mobility of up to 1300 cm2V−1s−1 at a low temperature [43]. Chuang et al. reported the use of Nb0.005W0.995Se2 as contact electrodes and the achievement of a low contact resistance (~0.3 kΩ·μm) [44]. Hwang et al. used chlorine-doped SnSe2 as the high-work-function contact metal in WSe2 FETs and realized a pronounced p-type Wse2 transistors with the mobility of 15.7 cm2V−1s−1, as shown in Figure 4c [45]. Wu et al. reported the fabrication of bi-layer WSe2 transistors via the vdW epitaxy and the controlled crack formation processes, as shown in Figure 4d [9]. In a 20 nm−long and 1.3 nm−thick bi-layer WSe2 transistor, an on-state current density of 1.72 mA μm−1 and a contact resistance of 0.25–0.54 kΩ·μm are achieved. PtSe2 has been demonstrated to have a higher electron mobility than MoS2 based on DFT calculations and experimentally extracted field-effect mobility [46]. Furthermore, PtSe2 shows a layer-dependent semiconductor to semimetal transition. When a PtSe2 transistor is built, few-layer PtSe2 can serve as a semiconducting channel and bulk PtSe2 can serve as the semi-metallic contact [47,48,49]. Das et al. vertically integrated a thick PtSe2 layer as source/drain contact on the surface of an ultrathin PtSe2 channel, achieving a high performance of all PtSe2 FETs, as shown in Figure 4e [50]. Zhang et al. reported barrier-free p-type WSe2 FETs with a layered 1T’−WS2 semimetal contact, as shown [51]. Owing to the high-quality interface between WSe2 and 1T’−WS2, the WSe2 FETs achieve a 50 meV Schottky barrier height and a high field-effect mobility of 97 cm2V−1s−1.
The growth of a graphene/MoS2 heterostructure and the use of graphene as contact have shown the potential to lower the contact resistance of MoS2 FETs [39]. In Mootheri et al.’s work, they further explored the function of 3D metal in the metal/graphene/MoS2 contact structure. They proved that Ru–graphene contact show the lowest contact resistance of 9.34 kΩ·μm compared with Pd–graphene and Ni–graphene contact [52].
The use of 2D metallic materials is a simple and effective way to achieve high-quality vdW contact on a 2D semiconductor. However, the stacking of 2D vdW heterostructures needs a complex transfer process during the device fabrication, which is inefficient for the fabrication of large-scale devices. Reliable transfer methods that are suitable for wafer-scale fabrication with a high alignment accuracy need to be explored. Furthermore, it is quite challenging to use the mechanical transfer method to fabricate short-channel devices. The etching of 2D layers with sub−1−micron precision is essential to realize the contact engineering of 2D FETs.
Figure 4. (a) Fermi-level de-pinning with vdW contacts. Reprinted/adapted with permission from Ref. [41]. Copyright 2021, John Wiley and Sons. (b) Band alignment of MoS2 and WSe2 with 2D metals and semimetals [42]. (c) Schematic and transfer curves of WSe2 devices contacted with both evaporated Pd and Cl–SnSe2 electrodes. Reprinted/adapted with permission from Ref. [45]. Copyright 2022, John Wiley and Sons. (d) Optical microscopy and SEM images of the bi-layer WSe2 transistor. Reprinted/adapted with permission from Ref. [9]. Copyright 2022, Springer Nature. (e) Schematic illustration of few-layer PtSe2 FETs with metallic PtSe2 vdW contact and a conventional Ti/Au contact. Reprinted/adapted with permission from Ref. [50]. Copyright 2021, American Chemical Society.
Figure 4. (a) Fermi-level de-pinning with vdW contacts. Reprinted/adapted with permission from Ref. [41]. Copyright 2021, John Wiley and Sons. (b) Band alignment of MoS2 and WSe2 with 2D metals and semimetals [42]. (c) Schematic and transfer curves of WSe2 devices contacted with both evaporated Pd and Cl–SnSe2 electrodes. Reprinted/adapted with permission from Ref. [45]. Copyright 2022, John Wiley and Sons. (d) Optical microscopy and SEM images of the bi-layer WSe2 transistor. Reprinted/adapted with permission from Ref. [9]. Copyright 2022, Springer Nature. (e) Schematic illustration of few-layer PtSe2 FETs with metallic PtSe2 vdW contact and a conventional Ti/Au contact. Reprinted/adapted with permission from Ref. [50]. Copyright 2021, American Chemical Society.
Nanomaterials 12 03845 g004

3.2. Edge Contact Engineering

Wang et al. first showed the structure of edge contact in 2D FETs by encapsulating a 2D channel with hexagonal boron nitride (h−BN) and exposing the edge of the channel to the metal contact. The edge contact shows several advantages, including being free of Fermi-level pinning induced by interfacial states and having a lower tunnel barrier, strong orbital overlaps, the absence of a Schottky barrier, and high carrier injection efficiency [53]. As the thickness of the 2D layer is very small, effective orbital overlap or hybridization is required between the metal and the edge of the 2D layers, which is the prerequisite to building high-quality edge contact. In monolayer TMDs such as MoS2 and WSe2, the CBM arises mainly from the d−orbitals of transition-metal atoms [54]. When a carrier is injected from metal to the conduction bands of MoS2, the edge contact exhibits strong orbital hybridization with transition-metal atoms [55] and leads to efficient carrier injection. In comparison, the top contact is formed on the surface of chalcogen atoms in monolayer MoS2 with little influence on the CBM. The realization of edge contact on 2D materials is mainly through plasma etching, metallization and phase engineering. We discuss these methods in this section.

3.2.1. Plasma Etching and Metallization

Jain et al. reported edge-contact monolayer MoS2 FETs encapsulated with h−BN layers, as shown in Figure 5a [56]. The reactive ion etching, in situ Ar sputtering and annealing removed adsorbate on the MoS2 surface and preserved the high carrier mobility of ~30 cm2V1s1, resulting in a steep subthreshold swing of 116 mV/dec with a negligible hysteresis. Yang et al. reported the polarity control of MoS2 FETs by employing a 1D elemental metal contact (Figure 5b) [55]. Figure 5c shows the high-resolution transmission electron microscopy (HR−TEM) cross-sectional image of the edge contact area. The use of high-work-function palladium (Pd) or gold (Au) enables a high-quality p-type dominant contact to MoS2 layers without extrinsic doping, as shown in Figure 5d,e. Moreover, the h−BN encapsulation can suppress the interfacial scattering in 2D FETs and improve the long-term ambient stability, demonstrating the advantages of edge contact structure. Some 2D materials undergo gradual oxidation in air, especially MoTe2, black phosphorus and InSe, which can adopt the edge contact structure [57,58,59]. Except h−BN encapsulation, other insulating materials such as Al2O3 [60] and PMMA [61] have also been used to form edge contacts on 2D semiconductors.

3.2.2. Phase Engineering and Degenerate Doping of 2D Layers

Two-dimensional TMDs have been reported with different polymorphs, including hexagonal (2H) and monoclinic or octahedral (1T, 1T’) structures [62,63,64]. The 2H−phases MoS2 and WSe2 show semiconducting properties, while the 1T (1T’) phase displays metallic transport behavior. Therefore, phase engineering between 2H and 1T (1T’) can dramatically change the electronic properties of group−6 TMDs. The transition of group−6 TMDs from 2H to 1T (1T’) phase at the contact region can be used to achieve high-quality edge contact in 2D FETs, which is similar to the degenerate doping at the source/drain region [65,66,67]. Kappera et al. first demonstrated the phase transition of MoS2 from 2H to 1T through n-butyllithium treatment, as shown in Figure 6a [68]. The 1T/2H interface dominates the carrier injection, and the device exhibits an ultra-low contact resistance of 200–300 Ω·μm at zero gate bias. However, this 1T−phase MoS2 is metastable, and the stability is a challenge. This method can be used not only in MoS2 FETs [69], but also in other 2D-materials-based FETs. Cho et al. reported the laser-induced phase transition of MoTe2 from 2H to 1T’ phase, as shown in Figure 6b [70]. The 1T’ phase region works as the edge contact of the 2H phase channel to improve the carrier injection, and the Schottky barrier height is decreased to 10 meV. They further reported the reversible phase transition of MoTe2 between 2H and 1T’ by controlling the annealing temperature and the cooling speed [71]. The 1T’ MoTe2 has a thermal stability of 300 ℃, which is higher than 1T phase MoS2 [72]. Reversible phase transition of WSe2 layers has been reported by Ma et al. The n-butyllithium treatment on 2H-phase WSe2 induces the semiconducting to metallic phase transition, and the thermal annealing drives the metallic phase Wse2 to be converted back to the semiconducting phase, as shown in Figure 6c [73].
The generation of defects by weak plasma treatment can also Induce phase transition. Zhu et al. reported a facile, clean, controllable and scalable phase-engineering technique for monolayer MoS2, as shown in Figure 6d [74]. Point defects (single S vacancies) result in the 2H to 1T phase transitions. Akinola et al. also reported a phase transformation in a region of a layered semiconductor PdSe2, as shown in Figure 6e [75]. This phase transition is driven by defects created by argon plasma, and this turns PdSe2 into Pd17Se15. Recently, Cai et al. performed plasma treatment on patterned MoS2 layer to induce a local bonding distortion. This distorted area works as a semi-metallic bridge between the metal and the pristine channel to facilitate the charge injection [76]. The TEM image shows that the distorted MoS2 displays an octahedral structure, and the device exhibits an ultra-low contact resistance of 90 Ω·μm, approaching the quantum limit.
Another strategy is the introduction of degenerate doping during the growth process. Li et al. reported that unidirectionally aligned monolayer Fe−doped MoS2 domains are prepared on two-inch commercial c-plane sapphire, suggesting the feasibility of synthesizing wafer-scale-doped 2D semiconductors with outstanding device performance, as shown in Figure 6f [77]. Vu et al. reported a one-step growth approach to synthesize Nb-doped WSe2 with a controllable doping concentration. The fabricated NbSe2/doped-semiconductor vdW heterostructures have a low contact resistance of 2.46 kΩ·μm [78]. Hemanjaneyulu et al. reported the dramatic n-doping of MoS2 by immersing it in KI solution. The contact resistance can be greatly reduced to 0.75 kΩ µm [79]. Metallic nanoparticles have also been used to dope a 2D semiconductor channel and further effectively modulate the carrier transport in 2D FETs. Khan et al. reported the charge doping of ReSe2 through the adsorption of Co nanoparticles [80]. Sarkar et al. reported the doping effect of noble metal nanoparticles (Au, Ag, Pd, Pt) in TMDs and revealed the relationship between metal work function and the doping effect in MoS2 [81].
Figure 6. (a) Electrostatic force microscopy phase image of a monolayered MoS2 nanosheet showing the difference between locally patterned 2H and 1T phase. Reprinted/adapted with permission from Ref. [68]. Copyright 2014, Springer Nature. (b) Schematic showing laser-irradiation-induced phase transition from 2H to 1T’ Phase in MoTe2. Reprinted/adapted with permission from Ref. [70]. Copyright 2015, American Association for the Advancement of Science. (c) Side view and transfer curve comparison of the 2H and 1T phase WSe2. Reprinted/adapted with permission from Ref. [73]. Copyright 2015, American Chemical Society. (d) Schematic formation of 1T phase MoS2 via plasma treatment and three types of devices. Reprinted/adapted with permission from Ref. [74]. Copyright 2017, American Chemical Society. (e) Schematic and optical image of a PdSe2 device with irradiated contact area used to obtain the pristine channel and Pd17Se15 contacts. Reprinted/adapted with permission from Ref. [75]. Copyright 2019, American Chemical Society. (f) Controllable synthesis and doping determination of monolayer Fe−MoS2. Reprinted/adapted with permission from Ref. [77]. Copyright 2022, John Wiley and Sons.
Figure 6. (a) Electrostatic force microscopy phase image of a monolayered MoS2 nanosheet showing the difference between locally patterned 2H and 1T phase. Reprinted/adapted with permission from Ref. [68]. Copyright 2014, Springer Nature. (b) Schematic showing laser-irradiation-induced phase transition from 2H to 1T’ Phase in MoTe2. Reprinted/adapted with permission from Ref. [70]. Copyright 2015, American Association for the Advancement of Science. (c) Side view and transfer curve comparison of the 2H and 1T phase WSe2. Reprinted/adapted with permission from Ref. [73]. Copyright 2015, American Chemical Society. (d) Schematic formation of 1T phase MoS2 via plasma treatment and three types of devices. Reprinted/adapted with permission from Ref. [74]. Copyright 2017, American Chemical Society. (e) Schematic and optical image of a PdSe2 device with irradiated contact area used to obtain the pristine channel and Pd17Se15 contacts. Reprinted/adapted with permission from Ref. [75]. Copyright 2019, American Chemical Society. (f) Controllable synthesis and doping determination of monolayer Fe−MoS2. Reprinted/adapted with permission from Ref. [77]. Copyright 2022, John Wiley and Sons.
Nanomaterials 12 03845 g006

3.3. Inserting Interlayer between Metal and 2D Materials

In previous sections, contact engineering has been realized by using 3D or 2D metallic materials, performing phase transition and introducing degenerate doping. Another strategy to suppress the Fermi-level pinning effect is introduced in this section. To decouple the orbital overlap, a thin insulating tunnel layer is inserted between the metal and semiconductor. The insulating buffer layer will increase the distance between the metal and semiconductor, which is an efficient way to suppress interface interaction, and the interlayer will inhibit high energy damage induced by the metal deposition process. The decrease in MIGS results in a reduced Schottky barrier height [82]. However, the thickness of the interlayer should be properly tuned because the electron tunneling through the insulating buffer layer is mandatory. Furthermore, the charges are injected by direct tunneling or Fowler–Nordheim tunneling dependent on the band alignment. Chen et al. first reported the insertion of a thin MgO film for Co−contacted monolayer MoS2 FETs [83]. The Schottky barrier height was reduced from 60 to 9.7 meV with the increasing MgO thickness from 0.9 to 2 nm. Lee et al. showed a statistical study of Schottky barrier height by inserting a thin tunneling Ta2O5 layer between MoS2 and metal contacts, as shown in Figure 7a [84]. They pointed out that a thin tunnel layer with a sub−2 nm thickness could allow efficient tunneling, as shown in Figure 7b. The remarkably suppressed Fermi-level pinning has also been demonstrated with other dielectric layers, such as h−BN [82,85,86], ZnO [87] and TiO2 [14]. Kwon et al. reported that defect-free vdW contacts were formed via a metal-deposition process with a selenium buffer layer on 2D layers, as shown in Figure 7c [88]. The device obeyed the Schottky-Mott rule and had a Fermi-level pinning factor of 0.91. Andrews et al. achieved a low Schottky barrier height of 25 meV by using MoSe2 as an interlayer between MoS2 channel and Ti electrodes, as shown in Figure 7d [89]. The reduction in Schottky barrier height can be attributed to the synergetic effect of Fermi-level pinning close to the conduction band edge of the MoSe2 interlayer and the favorable conduction band offset between the MoSe2 interlayer and MoS2 channel, as shown in Figure 7e,f.

4. Determination Methods of Contact Resistance

The determination method of contact resistance in 2D FETs should be consistent in different works for ease of comparison. There are three commonly used methods, including the transfer length method (TLM), Y−function method and four-point probe method.

4.1. Transfer Length Method

The transfer length method is widely used in 2D FETs to extract contact resistance [35]. The device should be fabricated with different channel lengths, as shown in Figure 8a. R t o t a l is the resistance between sourse and drain electrodes, R s h is the channel sheet resistance and W is the channel width. When R t o t a l / W is plotted versus the channel length, the y-axis intercept of the fitting line is equal to 2 R c .
The contact resistance value extracted by TLM can have large variation when the channel length is large and the sheet resistance is huge. To minimize the estimated error, short-channel devices should be used, and statistic results are preferred.

4.2. Y-Function Method

The Y-function method requires only one transfer curve I d I g at the linear regime by applying a large gate voltage and a small source-drain voltage V d V g [90]. When the transconductance starts to decrease, the contact effect will dominate the μ attenuation and the contact resistance can be derived.
We assume that the contact resistance is comparable with the channel resistance. The source-drain voltage will drop at the contact region and I d can be expressed as the following equation
I d = μ 0 1 + θ 0 V g V t h C i W L V g V t h 0.5 V d V d I d R c
where μ 0 , θ 0 and V t h are the intrinsic mobility in the linear regime, first-order mobility attenuation coefficient, and the threshold voltage, respectively. When V g V t h 0.5 V d , 0.5 V d can be ignored. The effective mobility attenuation factor θ represents the contribution from both θ 0 and R c . Therefore, I d can be written as the following equation
I d = μ 0 1 + θ V g V t h C i W L V g V t h V d
The Y—function was defined as
Y = I d   g m = I d   I d   / 1 + θ V g V t h V g V t h = μ 0 C i V d W L V g V t h
where g m is transconductance g m = I d / V g . The value s 1 can be extracted from the slope of the Y−function versus V g . The value s 2 can be extracted from the slope of 1 g m versus V g . The R c follows the equation:
R c = s 2 s 1 V d

4.3. Four-Point Probe Method

The four-point probe method to extract contact resistance requires the fabrication of a device with the desired structure, as shown in Figure 8b. The contact resistance is given by the following equation:
2 R c = V 14 I 14 V 23 I 14   L 14 L 23

5. Conclusions and Outlook

This review focused on the contact engineering of 2D FETs and discussed the origins of high contact resistance, the structure of top contact and edge contact, and the contact engineering in both structures. We believe that Fermi-level pinning in 2D devices is dominantly induced by interfacial gap states, and the solution to this challenge is to make a sharp and clean vdW interface at the contact regions. The top contact is compatible with the conventional Si CMOS process, but it is very challenging to control the deposition condition to achieve a vdW interface. The edge contact can be used in both top- and bottom—gate 2D FETs, but the accurate etching of 2D materials with little damage should be developed by using the dry etching technologies, such as reactive ion etching, plasma etching and inductively coupled plasma etching. Realization of the edge contact requires a much more complex fabrication process than that of the top contact. Although the edge contact methods can often achieve ultra-low contact resistance, the small contact area still limits the electrical performance of 2D FETs, such as on-state current. The insertion of a buffer layer provides another pathway to reduce the Fermi-level pinning effect, which can be combined with other contact-engineering strategies. In 2D GAAFETs, it is necessary to vertically integrate 2D FETs into integrated circuits, which is more challenging to achieve a good metal contact.
Overall, it is important to develop a CMOS-compatible contact deposition process to achieve large-scale 2D FETs with high-performance transport properties. One promising method is to employ an alloy composed of low-melting-point metal and high-melting point-metal as contact to simultaneously achieve vdW contact and increase the temperature endurance for the BEOL process. Another promising method is to build a high-quality mixed contact by combining the advantages of edge contact and top contact to overcome the small contact areas and Fermi-level pinning.

Author Contributions

J.M., X.Z., Y.T. and Y.Z. conceived the project. J.M. and Y.Z. wrote the manuscript. All authors have read and agreed to the published version of the manuscript.

Funding

We thank ZJU Micro-Nano Fabrication Center and ZJU-Hangzhou International Innovation Center for the supports. The project was primarily supported by the National Natural Science Foundation of China (62090030, 62090034, 62104214, 61974078); the Young Elite Scientists Sponsorship Program by CAST (2021QNRC001); the Opening Project of Key Laboratory of Optoelectronic Chemical Materials and Devices of Ministry of Education, Jianghan University (No. JDGD-202202); and Kun-Peng Program of Zhejiang Province.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Liu, Y.; Duan, X.; Shin, H.-J.; Park, S.; Huang, Y.; Duan, X. Promises and prospects of two-dimensional transistors. Nature 2021, 591, 43–53. [Google Scholar] [CrossRef] [PubMed]
  2. Liu, L.; Li, T.; Ma, L.; Li, W.; Gao, S.; Sun, W.; Dong, R.; Zou, X.; Fan, D.; Shao, L.; et al. Uniform nucleation and epitaxy of bilayer molybdenum disulfide on sapphire. Nature 2022, 605, 69–75. [Google Scholar] [CrossRef] [PubMed]
  3. Kim, Y.; Kim, T.; Lee, J.; Choi, Y.S.; Moon, J.; Park, S.Y.; Lee, T.H.; Park, H.K.; Lee, S.A.; Kwon, M.S.; et al. Tailored Graphene Micropatterns by Wafer-Scale Direct Transfer for Flexible Chemical Sensor Platform. Adv. Mater. 2021, 33, 2004827. [Google Scholar] [CrossRef]
  4. Zhao, Y.; Xu, K.; Pan, F.; Zhou, C.; Zhou, F.; Chai, Y. Doping, Contact and Interface Engineering of Two-Dimensional Layered Transition Metal Dichalcogenides Transistors. Adv. Funct. Mater. 2017, 27, 1603484. [Google Scholar] [CrossRef]
  5. Yu, Z.; Ning, H.; Cheng, C.C.; Li, W.; Liu, L.; Meng, W.; Luo, Z.; Li, T.; Cai, S.; Wang, P.; et al. Reliability of Ultrathin High−κ Dielectrics on Chemical-Vapor Deposited 2D Semiconductors. In Proceedings of the 2020 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 12–18 December 2020; pp. 3.2.1–3.3.4. [Google Scholar]
  6. Sebastian, A.; Pendurthi, R.; Choudhury, T.H.; Redwing, J.M.; Das, S. Benchmarking monolayer MoS2 and WS2 field-effect transistors. Nat. Commun. 2021, 12, 693. [Google Scholar] [CrossRef]
  7. Shen, P.-C.; Su, C.; Lin, Y.; Chou, A.-S.; Cheng, C.-C.; Park, J.-H.; Chiu, M.-H.; Lu, A.-Y.; Tang, H.-L.; Tavakoli, M.M.; et al. Ultralow contact resistance between semimetal and monolayer semiconductors. Nature 2021, 593, 211–217. [Google Scholar] [CrossRef]
  8. Wang, Y.; Kim, J.C.; Li, Y.; Ma, K.Y.; Hong, S.; Kim, M.; Shin, H.S.; Jeong, H.Y.; Chhowalla, M. P-type electrical contacts for two-dimensional transition metal dichalcogenides. Nature 2022, 610, 61–66. [Google Scholar] [CrossRef]
  9. Wu, R.; Tao, Q.; Li, J.; Li, W.; Chen, Y.; Lu, Z.; Shu, Z.; Zhao, B.; Ma, H.; Zhang, Z.; et al. Bilayer tungsten diselenide transistors with on-state currents exceeding 1.5 milliamperes per micrometre. Nat. Electron. 2022, 5, 497–504. [Google Scholar] [CrossRef]
  10. Badaroglu, M. More Moore. In Proceedings of the 2021 IEEE International Roadmap for Devices and Systems Outbriefs, Santa Clara, CA, USA, 30 November 2021; pp. 1–38. [Google Scholar]
  11. Schottky, W. Zur Halbleitertheorie der Sperrschicht- und Spitzengleichrichter. Z. Für Phys. 1939, 113, 367–414. [Google Scholar] [CrossRef]
  12. Mott, N.F. The theory of crystal rectifiers. Proc. Royal Soc. A Math. Phys. Eng. Sci. 1939, 171, 27–38. [Google Scholar] [CrossRef]
  13. Liu, Y.; Guo, J.; Zhu, E.; Liao, L.; Lee, S.-J.; Ding, M.; Shakir, I.; Gambin, V.; Huang, Y.; Duan, X. Approaching the Schottky–Mott limit in van der Waals metal–semiconductor junctions. Nature 2018, 557, 696–700. [Google Scholar] [CrossRef] [PubMed]
  14. Kim, G.-S.; Kim, S.-H.; Park, J.; Han, K.H.; Kim, J.; Yu, H.-Y. Schottky Barrier Height Engineering for Electrical Contacts of Multilayered MoS2 Transistors with Reduction of Metal-Induced Gap States. ACS Nano 2018, 12, 6292–6300. [Google Scholar] [CrossRef] [PubMed]
  15. Tersoff, J. Schottky Barrier Heights and the Continuum of Gap States. Phys. Rev. Lett. 1984, 52, 465–468. [Google Scholar] [CrossRef]
  16. Guo, Y.; Liu, D.; Robertson, J. 3D Behavior of Schottky Barriers of 2D Transition-Metal Dichalcogenides. ACS Appl. Mater. Interfaces 2015, 7, 25709–25715. [Google Scholar] [CrossRef] [Green Version]
  17. Szczęśniak, D.; Hoehn, R.D.; Kais, S. Canonical Schottky barrier heights of transition metal dichalcogenide monolayers in contact with a metal. Phys. Rev. B 2018, 97, 195315. [Google Scholar] [CrossRef] [Green Version]
  18. Shautsova, V.; Sinha, S.; Hou, L.; Zhang, Q.; Tweedie, M.; Lu, Y.; Sheng, Y.; Porter, B.F.; Bhaskaran, H.; Warner, J.H. Direct Laser Patterning and Phase Transformation of 2D PdSe2 Films for On-Demand Device Fabrication. ACS Nano 2019, 13, 14162–14171. [Google Scholar] [CrossRef]
  19. Choi, M.S.; Lee, M.; Ngo, T.D.; Hone, J.; Yoo, W.J. Chemical Dopant-Free Doping by Annealing and Electron Beam Irradiation on 2D Materials. Adv. Electron. Mater. 2021, 7, 2100449. [Google Scholar] [CrossRef]
  20. Li, F.; Gao, F.; Xu, M.; Liu, X.; Zhang, X.; Wu, H.; Qi, J. Tuning Transport and Photoelectric Performance of Monolayer MoS2 Device by E-Beam Irradiation. Adv. Mater. Interfaces 2018, 5, 1800348. [Google Scholar] [CrossRef]
  21. Zhao, Y.; Bertolazzi, S.; Maglione, M.S.; Rovira, C.; Mas-Torrent, M.; Samorì, P. Molecular Approach to Electrochemically Switchable Monolayer MoS2 Transistors. Adv. Mater. 2020, 32, 2000740. [Google Scholar] [CrossRef]
  22. Matsunaga, M.; Higuchi, A.; He, G.; Yamada, T.; Krüger, P.; Ochiai, Y.; Gong, Y.; Vajtai, R.; Ajayan, P.M.; Bird, J.P.; et al. Nanoscale-Barrier Formation Induced by Low−Dose Electron-Beam Exposure in Ultrathin MoS2 Transistors. ACS Nano 2016, 10, 9730–9737. [Google Scholar] [CrossRef]
  23. Poddar, P.K.; Zhong, Y.; Mannix, A.J.; Mujid, F.; Yu, J.; Liang, C.; Kang, J.-H.; Lee, M.; Xie, S.; Park, J. Resist-Free Lithography for Monolayer Transition Metal Dichalcogenides. Nano Lett. 2022, 22, 726–732. [Google Scholar] [CrossRef] [PubMed]
  24. Wu, R.J.; Udyavara, S.; Ma, R.; Wang, Y.; Chhowalla, M.; Birol, T.; Koester, S.J.; Neurock, M.; Mkhoyan, K.A. Visualizing the metal−MoS2 contacts in two-dimensional field-effect transistors with atomic resolution. Phys. Rev. Mater. 2019, 3, 111001. [Google Scholar] [CrossRef] [Green Version]
  25. Smyth, C.M.; Addou, R.; Hinkle, C.L.; Wallace, R.M. Origins of Fermi-level pinning between Molybdenum Dichalcogenides (MoSe2, MoTe2) and Bulk Metal Contacts: Interface Chemistry and Band Alignment. J. Phys. Chem. C 2019, 123, 23919–23930. [Google Scholar] [CrossRef]
  26. Das, S.; Chen, H.-Y.; Penumatcha, A.V.; Appenzeller, J. High Performance Multilayer MoS2 Transistors with Scandium Contacts. Nano Lett. 2013, 13, 100–105. [Google Scholar] [CrossRef]
  27. Kim, C.; Moon, I.; Lee, D.; Choi, M.S.; Ahmed, F.; Nam, S.; Cho, Y.; Shin, H.-J.; Park, S.; Yoo, W.J. Fermi Level Pinning at Electrical Metal Contacts of Monolayer Molybdenum Dichalcogenides. ACS Nano 2017, 11, 1588–1596. [Google Scholar] [CrossRef]
  28. Yuan, H.; Cheng, G.; Yu, S.; Hight Walker, A.R.; Richter, C.A.; Pan, M.; Li, Q. Field effects of current crowding in metal−MoS2 contacts. Appl. Phys. Lett. 2016, 108, 103505. [Google Scholar] [CrossRef] [Green Version]
  29. Taur, Y.; Ning, T.H. Fundamentals of Modern VLSI Devices; Cambridge University Press: Cambridge, UK, 2021. [Google Scholar]
  30. Kwon, J.; Lee, J.-Y.; Yu, Y.-J.; Lee, C.-H.; Cui, X.; Hone, J.; Lee, G.-H. Thickness-dependent Schottky barrier height of MoS2 field-effect transistors. Nanoscale 2017, 9, 6151–6157. [Google Scholar] [CrossRef]
  31. Wang, J.; Guo, X.; Yu, Z.; Ma, Z.; Liu, Y.; Chan, M.; Zhu, Y.; Wang, X.; Chai, Y. Steep Slope p-type 2D WSe2 Field-Effect Transistors with Van Der Waals Contact and Negative Capacitance. In Proceedings of the 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 1–5 December 2018; pp. 22.3.1–22.3.4. [Google Scholar] [CrossRef]
  32. Han, B.; Zhao, Y.; Ma, C.; Wang, C.; Tian, X.; Wang, Y.; Hu, W.; Samorì, P. Asymmetric Chemical Functionalization of Top-Contact Electrodes: Tuning the Charge Injection for High-Performance MoS2 Field-Effect Transistors and Schottky Diodes. Adv. Mater. 2022, 34, 2109445. [Google Scholar] [CrossRef]
  33. Mao, J.-Y.; Wu, S.; Ding, G.; Wang, Z.-P.; Qian, F.-S.; Yang, J.-Q.; Zhou, Y.; Han, S.-T. A van der Waals Integrated Damage-Free Memristor Based on Layered 2D Hexagonal Boron Nitride. Small 2022, 18, 2106253. [Google Scholar] [CrossRef]
  34. Jung, Y.; Choi, M.S.; Nipane, A.; Borah, A.; Kim, B.; Zangiabadi, A.; Taniguchi, T.; Watanabe, K.; Yoo, W.J.; Hone, J.; et al. Transferred via contacts as a platform for ideal two-dimensional transistors. Nat. Electron. 2019, 2, 187–194. [Google Scholar] [CrossRef]
  35. English, C.D.; Shine, G.; Dorgan, V.E.; Saraswat, K.C.; Pop, E. Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition. Nano Lett. 2016, 16, 3824–3830. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  36. Wang, Y.; Kim, J.C.; Wu, R.J.; Martinez, J.; Song, X.; Yang, J.; Zhao, F.; Mkhoyan, A.; Jeong, H.Y.; Chhowalla, M. Van der Waals contacts between three-dimensional metals and two-dimensional semiconductors. Nature 2019, 568, 70–74. [Google Scholar] [CrossRef] [PubMed]
  37. Kumar, A.; Schauble, K.; Neilson, K.M.; Tang, A.; Ramesh, P.; Wong, H.S.P.; Pop, E.; Saraswat, K. Sub−200 Ω·µm Alloyed Contacts to Synthetic Monolayer MoS2. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 7.3.1–7.3.4. [Google Scholar] [CrossRef]
  38. Jin, L.; Koester, S.J. High-Performance Dual-Gated Single-Layer WS2 MOSFETs With Bi Contacts. IEEE Electron Device Lett. 2022, 43, 639–642. [Google Scholar] [CrossRef]
  39. Chou, A.S.; Wu, T.; Cheng, C.C.; Zhan, S.S.; Ni, I.C.; Wang, S.Y.; Chang, Y.C.; Liew, S.L.; Chen, E.; Chang, W.H.; et al. Antimony Semimetal Contact with Enhanced Thermal Stability for High Performance 2D Electronics. In Proceedings of the 2021 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 11–16 December 2021; pp. 7.2.1–7.2.4. [Google Scholar] [CrossRef]
  40. Liu, Y.; Stradins, P.; Wei, S.-H. Van der Waals metal-semiconductor junction: Weak Fermi level pinning enables effective tuning of Schottky barrier. Sci. Adv. 2016, 2, e1600069. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  41. Murali, K.; Dandu, M.; Watanabe, K.; Taniguchi, T.; Majumdar, K. Accurate Extraction of Schottky Barrier Height and Universality of Fermi Level De-Pinning of van der Waals Contacts. Adv. Funct. Mater. 2021, 31, 2010513. [Google Scholar] [CrossRef]
  42. Zhang, C.; Gong, C.; Nie, Y.; Min, K.-A.; Liang, C.; Oh, Y.J.; Zhang, H.; Wang, W.; Hong, S.; Colombo, L.; et al. Systematic study of electronic structure and band alignment of monolayer transition metal dichalcogenides in Van der Waals heterostructures. 2D Mater. 2016, 4, 015026. [Google Scholar] [CrossRef]
  43. Liu, Y.; Wu, H.; Cheng, H.-C.; Yang, S.; Zhu, E.; He, Q.; Ding, M.; Li, D.; Guo, J.; Weiss, N.O.; et al. Toward Barrier Free Contact to Molybdenum Disulfide Using Graphene Electrodes. Nano Lett. 2015, 15, 3030–3034. [Google Scholar] [CrossRef]
  44. Chuang, H.-J.; Chamlagain, B.; Koehler, M.; Perera, M.M.; Yan, J.; Mandrus, D.; Tománek, D.; Zhou, Z. Low-Resistance 2D/2D Ohmic Contacts: A Universal Approach to High-Performance WSe2, MoS2, and MoSe2 Transistors. Nano Lett. 2016, 16, 1896–1902. [Google Scholar] [CrossRef] [Green Version]
  45. Jang, J.; Ra, H.-S.; Ahn, J.; Kim, T.W.; Song, S.H.; Park, S.; Taniguch, T.; Watanabe, K.; Lee, K.; Hwang, D.K. Fermi-level pinning-Free WSe2 Transistors via 2D Van der Waals Metal Contacts and Their Circuits. Adv. Mater. 2022, 34, 2109899. [Google Scholar] [CrossRef]
  46. Zhao, Y.; Qiao, J.; Yu, Z.; Yu, P.; Xu, K.; Lau, S.P.; Zhou, W.; Liu, Z.; Wang, X.; Ji, W.; et al. High-Electron-Mobility and Air-STable 2D Layered PtSe2 FETs. Adv. Mater. 2017, 29, 1604230. [Google Scholar] [CrossRef]
  47. Wang, Y.; Li, L.; Yao, W.; Song, S.; Sun, J.T.; Pan, J.; Ren, X.; Li, C.; Okunishi, E.; Wang, Y.-Q.; et al. Monolayer PtSe2, a New Semiconducting Transition-Metal-Dichalcogenide, Epitaxially Grown by Direct Selenization of Pt. Nano Lett. 2015, 15, 4013–4018. [Google Scholar] [CrossRef] [PubMed]
  48. Zhang, K.; Yan, M.; Zhang, H.; Huang, H.; Arita, M.; Sun, Z.; Duan, W.; Wu, Y.; Zhou, S. Experimental evidence for type−II Dirac semimetal in PtSe2. Phys. Rev. B 2017, 96, 125102. [Google Scholar] [CrossRef] [Green Version]
  49. Shi, J.; Huan, Y.; Hong, M.; Xu, R.; Yang, P.; Zhang, Z.; Zou, X.; Zhang, Y. Chemical Vapor Deposition Grown Large-Scale Atomically Thin Platinum Diselenide with Semimetal–Semiconductor Transition. ACS Nano 2019, 13, 8442–8451. [Google Scholar] [CrossRef]
  50. Das, T.; Yang, E.; Seo, J.E.; Kim, J.H.; Park, E.; Kim, M.; Seo, D.; Kwak, J.Y.; Chang, J. Doping-Free All PtSe2 Transistor via Thickness-Modulated Phase Transition. ACS Appl. Mater. Interfaces 2021, 13, 1861–1871. [Google Scholar] [CrossRef] [PubMed]
  51. Zhang, X.; Yu, H.; Tang, W.; Wei, X.; Gao, L.; Hong, M.; Liao, Q.; Kang, Z.; Zhang, Z.; Zhang, Y. All-van-der-Waals Barrier-Free Contacts for High-Mobility Transistors. Adv. Mater. 2022, 34, 2109521. [Google Scholar] [CrossRef] [PubMed]
  52. Mootheri, V.; Arutchelvan, G.; Banerjee, S.; Sutar, S.; Leonhardt, A.; Boulon, M.-E.; Huyghebaert, C.; Houssa, M.; Asselberghs, I.; Radu, I. Graphene based Van der Waals contacts on MoS2 field effect transistors. 2D Mater. 2021, 8, 015003. [Google Scholar] [CrossRef]
  53. Choi, M.S.; Ali, N.; Ngo, T.D.; Choi, H.; Oh, B.; Yang, H.; Yoo, W.J. Recent Progress in 1D Contacts for 2D-Material-Based Devices. Adv. Mater. 2022, 34, 2202408. [Google Scholar] [CrossRef]
  54. Liu, G.-B.; Xiao, D.; Yao, Y.; Xu, X.; Yao, W. Electronic structures and theoretical modelling of two-dimensional group-VIB transition metal dichalcogenides. Chem. Soc. Rev. 2015, 44, 2643–2663. [Google Scholar] [CrossRef] [Green Version]
  55. Yang, Z.; Kim, C.; Lee, K.Y.; Lee, M.; Appalakondaiah, S.; Ra, C.-H.; Watanabe, K.; Taniguchi, T.; Cho, K.; Hwang, E.; et al. A Fermi-Level-Pinning-Free 1D Electrical Contact at the Intrinsic 2D MoS2–Metal Junction. Adv. Mater. 2019, 31, 1808231. [Google Scholar] [CrossRef]
  56. Jain, A.; Szabó, Á.; Parzefall, M.; Bonvin, E.; Taniguchi, T.; Watanabe, K.; Bharadwaj, P.; Luisier, M.; Novotny, L. One-Dimensional Edge Contacts to a Monolayer Semiconductor. Nano Lett. 2019, 19, 6914–6923. [Google Scholar] [CrossRef] [Green Version]
  57. Mirabelli, G.; McGeough, C.; Schmidt, M.; McCarthy, E.K.; Monaghan, S.; Povey, I.M.; McCarthy, M.; Gity, F.; Nagle, R.; Hughes, G.; et al. Air sensitivity of MoS2, MoSe2, MoTe2, HfS2, and HfSe2. J. Appl. Phys. 2016, 120, 125102. [Google Scholar] [CrossRef] [Green Version]
  58. Illarionov, Y.Y.; Waltl, M.; Rzepa, G.; Kim, J.-S.; Kim, S.; Dodabalapur, A.; Akinwande, D.; Grasser, T. Long-Term Stability and Reliability of Black Phosphorus Field-Effect Transistors. ACS Nano 2016, 10, 9543–9549. [Google Scholar] [CrossRef] [PubMed]
  59. Wang, Y.; Gao, J.; Wei, B.; Han, Y.; Wang, C.; Gao, Y.; Liu, H.; Han, L.; Zhang, Y. Reduction of the ambient effect in multilayer InSe transistors and a strategy toward sTable 2D-based optoelectronic applications. Nanoscale 2020, 12, 18356–18362. [Google Scholar] [CrossRef]
  60. Chai, Y.; Ionescu, R.; Su, S.; Lake, R.; Ozkan, M.; Ozkan, C.S. Making one-dimensional electrical contacts to molybdenum disulfide-based heterostructures through plasma etching. Phys. Status Solidi A 2016, 213, 1358–1364. [Google Scholar] [CrossRef]
  61. Cheng, Z.; Yu, Y.; Singh, S.; Price, K.; Noyce, S.G.; Lin, Y.-C.; Cao, L.; Franklin, A.D. Immunity to Contact Scaling in MoS2 Transistors Using in Situ Edge Contacts. Nano Lett. 2019, 19, 5077–5085. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  62. Duerloo, K.-A.N.; Li, Y.; Reed, E.J. Structural phase transitions in two-dimensional Mo− and W−dichalcogenide monolayers. Nat. Commun. 2014, 5, 4214. [Google Scholar] [CrossRef] [Green Version]
  63. Voiry, D.; Mohite, A.; Chhowalla, M. Phase engineering of transition metal dichalcogenides. Chem. Soc. Rev. 2015, 44, 2702–2712. [Google Scholar] [CrossRef]
  64. Huang, H.H.; Fan, X.; Singh, D.J.; Zheng, W.T. Recent progress of TMD nanomaterials: Phase transitions and applications. Nanoscale 2020, 12, 1247–1268. [Google Scholar] [CrossRef]
  65. Kim, J.; Park, H.; Yoo, S.; Im, Y.-H.; Kang, K.; Kim, J. Defect-Engineered n-Doping of WSe2 via Argon Plasma Treatment and Its Application in Field-Effect Transistors. Adv. Mater. Interfaces 2021, 8, 2100718. [Google Scholar] [CrossRef]
  66. Ma, R.; Zhang, H.; Yoo, Y.; Degregorio, Z.P.; Jin, L.; Golani, P.; Ghasemi Azadani, J.; Low, T.; Johns, J.E.; Bendersky, L.A.; et al. MoTe2 Lateral Homojunction Field-Effect Transistors Fabricated using Flux-Controlled Phase Engineering. ACS Nano 2019, 13, 8035–8046. [Google Scholar] [CrossRef]
  67. Lee, E.K.; Abdullah, H.; Torricelli, F.; Lee, D.H.; Ko, J.K.; Kim, H.H.; Yoo, H.; Oh, J.H. Boosting the Optoelectronic Properties of Molybdenum Diselenide by Combining Phase Transition Engineering with Organic Cationic Dye Doping. ACS Nano 2021, 15, 17769–17779. [Google Scholar] [CrossRef]
  68. Kappera, R.; Voiry, D.; Yalcin, S.E.; Branch, B.; Gupta, G.; Mohite, A.D.; Chhowalla, M. Phase-engineered low-resistance contacts for ultrathin MoS2 transistors. Nat. Mater. 2014, 13, 1128–1134. [Google Scholar] [CrossRef] [PubMed]
  69. Zhao, Y.; Bertolazzi, S.; Samorì, P. A Universal Approach toward Light-Responsive Two-Dimensional Electronics: Chemically Tailored Hybrid van der Waals Heterostructures. ACS Nano 2019, 13, 4814–4825. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  70. Cho, S.; Kim, S.; Kim, J.H.; Zhao, J.; Seok, J.; Keum, D.H.; Baik, J.; Choe, D.-H.; Chang, K.J.; Suenaga, K.; et al. Phase patterning for ohmic homojunction contact in MoTe2. Science 2015, 349, 625–628. [Google Scholar] [CrossRef] [PubMed]
  71. Keum, D.H.; Cho, S.; Kim, J.H.; Choe, D.-H.; Sung, H.-J.; Kan, M.; Kang, H.; Hwang, J.-Y.; Kim, S.W.; Yang, H.; et al. Bandgap opening in few-layered monoclinic MoTe2. Nat. Phys. 2015, 11, 482–486. [Google Scholar] [CrossRef]
  72. Naz, M.; Hallam, T.; Berner, N.C.; McEvoy, N.; Gatensby, R.; McManus, J.B.; Akhter, Z.; Duesberg, G.S. A New 2H−2H′/1T Cophase in Polycrystalline MoS2 and MoSe2 Thin Films. ACS Appl. Mater. Interfaces 2016, 8, 31442–31448. [Google Scholar] [CrossRef] [PubMed]
  73. Ma, Y.; Liu, B.; Zhang, A.; Chen, L.; Fathi, M.; Shen, C.; Abbas, A.N.; Ge, M.; Mecklenburg, M.; Zhou, C. Reversible Semiconducting-to-Metallic Phase Transition in Chemical Vapor Deposition Grown Monolayer WSe2 and Applications for Devices. ACS Nano 2015, 9, 7383–7391. [Google Scholar] [CrossRef]
  74. Zhu, J.; Wang, Z.; Yu, H.; Li, N.; Zhang, J.; Meng, J.; Liao, M.; Zhao, J.; Lu, X.; Du, L.; et al. Argon Plasma Induced Phase Transition in Monolayer MoS2. J. Am. Chem. Soc. 2017, 139, 10216–10219. [Google Scholar] [CrossRef]
  75. Oyedele, A.D.; Yang, S.; Feng, T.; Haglund, A.V.; Gu, Y.; Puretzky, A.A.; Briggs, D.; Rouleau, C.M.; Chisholm, M.F.; Unocic, R.R.; et al. Defect-Mediated Phase Transformation in Anisotropic Two-Dimensional PdSe2 Crystals for Seamless Electrical Contacts. J. Am. Chem. Soc. 2019, 141, 8928–8936. [Google Scholar] [CrossRef]
  76. Cai, X.; Wu, Z.; Han, X.; Chen, Y.; Xu, S.; Lin, J.; Han, T.; He, P.; Feng, X.; An, L.; et al. Bridging the gap between atomically thin semiconductors and metal leads. Nat. Commun. 2022, 13, 1777. [Google Scholar] [CrossRef]
  77. Li, H.; Cheng, M.; Wang, P.; Du, R.; Song, L.; He, J.; Shi, J. Reducing Contact Resistance and Boosting Device Performance of Monolayer MoS2 by In Situ Fe Doping. Adv. Mater. 2022, 34, 2200885. [Google Scholar] [CrossRef] [PubMed]
  78. Vu, V.T.; Vu, T.T.H.; Phan, T.L.; Kang, W.T.; Kim, Y.R.; Tran, M.D.; Nguyen, H.T.T.; Lee, Y.H.; Yu, W.J. One-Step Synthesis of NbSe2/Nb−Doped−WSe2 Metal/Doped−Semiconductor van der Waals Heterostructures for Doping Controlled Ohmic Contact. ACS Nano 2021, 15, 13031–13040. [Google Scholar] [CrossRef] [PubMed]
  79. Hemanjaneyulu, K.; Kumar, J.; Shrivastava, M. MoS2 Doping Using Potassium Iodide for Reliable Contacts and Efficient FET Operation. IEEE Trans. Electron Devices 2019, 66, 3224–3228. [Google Scholar] [CrossRef]
  80. Khan, M.F.; Rehman, S.; Akhtar, I.; Aftab, S.; Ajmal, H.M.S.; Khan, W.; Kim, D.-K.; Eom, J. High mobility ReSe2 field effect transistors: Schottky-barrier-height-dependent photoresponsivity and broadband light detection with Co decoration. 2D Mater. 2020, 7, 015010. [Google Scholar] [CrossRef]
  81. Sarkar, D.; Xie, X.; Kang, J.; Zhang, H.; Liu, W.; Navarrete, J.; Moskovits, M.; Banerjee, K. Functionalization of Transition Metal Dichalcogenides with Metallic Nanoparticles: Implications for Doping and Gas-Sensing. Nano Lett. 2015, 15, 2852–2862. [Google Scholar] [CrossRef]
  82. Wang, J.; Yao, Q.; Huang, C.-W.; Zou, X.; Liao, L.; Chen, S.; Fan, Z.; Zhang, K.; Wu, W.; Xiao, X.; et al. High Mobility MoS2 Transistor with Low Schottky Barrier Contact by Using Atomic Thick h−BN as a Tunneling Layer. Adv. Mater. 2016, 28, 8302–8308. [Google Scholar] [CrossRef]
  83. Chen, J.-R.; Odenthal, P.M.; Swartz, A.G.; Floyd, G.C.; Wen, H.; Luo, K.Y.; Kawakami, R.K. Control of Schottky Barriers in Single Layer MoS2 Transistors with Ferromagnetic Contacts. Nano Lett. 2013, 13, 3106–3110. [Google Scholar] [CrossRef]
  84. Lee, S.; Tang, A.; Aloni, S.; Philip Wong, H.S. Statistical Study on the Schottky Barrier Reduction of Tunneling Contacts to CVD Synthesized MoS2. Nano Lett. 2016, 16, 276–281. [Google Scholar] [CrossRef]
  85. Mleczko, M.J.; Yu, A.C.; Smyth, C.M.; Chen, V.; Shin, Y.C.; Chatterjee, S.; Tsai, Y.-C.; Nishi, Y.; Wallace, R.M.; Pop, E. Contact Engineering High-Performance n-Type MoTe2 Transistors. Nano Lett. 2019, 19, 6352–6362. [Google Scholar] [CrossRef] [Green Version]
  86. Cui, X.; Shih, E.-M.; Jauregui, L.A.; Chae, S.H.; Kim, Y.D.; Li, B.; Seo, D.; Pistunova, K.; Yin, J.; Park, J.-H.; et al. Low-Temperature Ohmic Contact to Monolayer MoS2 by van der Waals Bonded Co/h−BN Electrodes. Nano Lett. 2017, 17, 4781–4786. [Google Scholar] [CrossRef]
  87. Jang, J.; Kim, Y.; Chee, S.-S.; Kim, H.; Whang, D.; Kim, G.-H.; Yun, S.J. Clean Interface Contact Using a ZnO Interlayer for Low-Contact-Resistance MoS2 Transistors. ACS Appl. Mater. Interfaces 2020, 12, 5031–5039. [Google Scholar] [CrossRef] [PubMed]
  88. Kwon, G.; Choi, Y.-H.; Lee, H.; Kim, H.-S.; Jeong, J.; Jeong, K.; Baik, M.; Kwon, H.; Ahn, J.; Lee, E.; et al. Interaction- and defect-free van der Waals contacts between metals and two-dimensional semiconductors. Nat. Electron. 2022, 5, 241–247. [Google Scholar] [CrossRef]
  89. Andrews, K.; Bowman, A.; Rijal, U.; Chen, P.-Y.; Zhou, Z. Improved Contacts and Device Performance in MoS2 Transistors Using a 2D Semiconductor Interlayer. ACS Nano 2020, 14, 6232–6241. [Google Scholar] [CrossRef]
  90. Chang, H.-Y.; Zhu, W.; Akinwande, D. On the mobility and contact resistance evaluation for transistors based on MoS2 or two-dimensional semiconducting atomic crystals. Appl. Phys. Lett. 2014, 104, 113504. [Google Scholar] [CrossRef]
Figure 2. Atomical clean interface is significant to Fermi-level depinning. (a) cross-sectional schematics of transferred Au electrodes with atomically sharp and clean metal–semiconductor interfaces and conventional electron beam-deposited Au electrodes with poor interface. (b) Cross-section TEM images of transferred Au electrodes and evaporated Au electrodes. Reprinted/adapted with permission from Ref. [13]. Copyright 2018, Springer Nature. (c) TEM cross-section of a MoS2 FET with gold electrodes deposited under ultra-high vacuum. Reproduced with permission from Ref. [35]. Copyright 2016, American Chemical Society. (d) cross-sectional STEM of the Pd–WSe2 interface. Reprinted/adapted with permission from Ref. [8]. Copyright 2022, Springer Nature.
Figure 2. Atomical clean interface is significant to Fermi-level depinning. (a) cross-sectional schematics of transferred Au electrodes with atomically sharp and clean metal–semiconductor interfaces and conventional electron beam-deposited Au electrodes with poor interface. (b) Cross-section TEM images of transferred Au electrodes and evaporated Au electrodes. Reprinted/adapted with permission from Ref. [13]. Copyright 2018, Springer Nature. (c) TEM cross-section of a MoS2 FET with gold electrodes deposited under ultra-high vacuum. Reproduced with permission from Ref. [35]. Copyright 2016, American Chemical Society. (d) cross-sectional STEM of the Pd–WSe2 interface. Reprinted/adapted with permission from Ref. [8]. Copyright 2022, Springer Nature.
Nanomaterials 12 03845 g002
Figure 3. Band structure of normal metal contact (a) and bismuth–semiconductor contact (b). The Fermi level of the semi-metal aligns with the conduction band of the semiconductor, the density of states at the Fermi level of the semimetal is near-zero, and metal-induced gap states are suppressed. (c) Output curves of a 35-nm Bi−MoS2 FET with a high current density. (d) Contact resistance of MoS2 FETs with bismuth electrodes. (e) Transfer curves of Bi−MoS2 and Ni−MoS2 FETs at various temperatures. (f) State-of-the-art contact technology for MoS2 transistors as a function of n 2 d . Reprinted/adapted with permission from Ref. [7]. Copyright 2021, Springer Nature.
Figure 3. Band structure of normal metal contact (a) and bismuth–semiconductor contact (b). The Fermi level of the semi-metal aligns with the conduction band of the semiconductor, the density of states at the Fermi level of the semimetal is near-zero, and metal-induced gap states are suppressed. (c) Output curves of a 35-nm Bi−MoS2 FET with a high current density. (d) Contact resistance of MoS2 FETs with bismuth electrodes. (e) Transfer curves of Bi−MoS2 and Ni−MoS2 FETs at various temperatures. (f) State-of-the-art contact technology for MoS2 transistors as a function of n 2 d . Reprinted/adapted with permission from Ref. [7]. Copyright 2021, Springer Nature.
Nanomaterials 12 03845 g003
Figure 5. (a) Schematic of 1D edge contact MoS2 FET and transfer curve. Reprinted/adapted with permission from Ref. [56]. Copyright 2019, American Chemical Society. (b) Schematic diagram shows 1D edge contact FET with different metals. (c) HR−TEM image of Pd−MoS2 1D edge contact FET; transfer curves of Pd (d) and Au (e) edge contact MoS2 FETs, realizing p-type intrinsic MoS2 FETs and Fermi-level depinning. Reprinted/adapted with permission from Ref. [55]. Copyright 2019, John Wiley and Sons.
Figure 5. (a) Schematic of 1D edge contact MoS2 FET and transfer curve. Reprinted/adapted with permission from Ref. [56]. Copyright 2019, American Chemical Society. (b) Schematic diagram shows 1D edge contact FET with different metals. (c) HR−TEM image of Pd−MoS2 1D edge contact FET; transfer curves of Pd (d) and Au (e) edge contact MoS2 FETs, realizing p-type intrinsic MoS2 FETs and Fermi-level depinning. Reprinted/adapted with permission from Ref. [55]. Copyright 2019, John Wiley and Sons.
Nanomaterials 12 03845 g005
Figure 7. (a) Schematic of MoS2 FETs with various thicknesses of Ta2O5 interlayer. (b) Measured specific contact resistivity as a function of Ta2O5 dielectric thickness. Reprinted/adapted with permission from Ref. [84]. Copyright 2016, American Chemical Society. (c) Schematic of vdW Au contact WSe2 fabrication process. Reprinted/adapted with permission from Ref. [88]. Copyright 2022, Springer Nature. (d) (i) Optical micrograph of MoS2 FETs with MoSe2 interlayers. (ii) Thickness analysis of the MoSe2 interlayers. (iii) Device structure of MoS2 FETs with Ti/MoSe2 interlayer contacts. Illustrations of the band alignments at the contacts with a MoSe2 interlayer (e) and direct metal contacts (f). Reprinted/adapted with permission from Ref. [89]. Copyright 2020, American Chemical Society.
Figure 7. (a) Schematic of MoS2 FETs with various thicknesses of Ta2O5 interlayer. (b) Measured specific contact resistivity as a function of Ta2O5 dielectric thickness. Reprinted/adapted with permission from Ref. [84]. Copyright 2016, American Chemical Society. (c) Schematic of vdW Au contact WSe2 fabrication process. Reprinted/adapted with permission from Ref. [88]. Copyright 2022, Springer Nature. (d) (i) Optical micrograph of MoS2 FETs with MoSe2 interlayers. (ii) Thickness analysis of the MoSe2 interlayers. (iii) Device structure of MoS2 FETs with Ti/MoSe2 interlayer contacts. Illustrations of the band alignments at the contacts with a MoSe2 interlayer (e) and direct metal contacts (f). Reprinted/adapted with permission from Ref. [89]. Copyright 2020, American Chemical Society.
Nanomaterials 12 03845 g007
Figure 8. Methods to extract contact resistance. (a) Schematic of transfer length method. (b) Schematic of four-point probe method.
Figure 8. Methods to extract contact resistance. (a) Schematic of transfer length method. (b) Schematic of four-point probe method.
Nanomaterials 12 03845 g008
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Miao, J.; Zhang, X.; Tian, Y.; Zhao, Y. Recent Progress in Contact Engineering of Field-Effect Transistor Based on Two-Dimensional Materials. Nanomaterials 2022, 12, 3845. https://doi.org/10.3390/nano12213845

AMA Style

Miao J, Zhang X, Tian Y, Zhao Y. Recent Progress in Contact Engineering of Field-Effect Transistor Based on Two-Dimensional Materials. Nanomaterials. 2022; 12(21):3845. https://doi.org/10.3390/nano12213845

Chicago/Turabian Style

Miao, Jialei, Xiaowei Zhang, Ye Tian, and Yuda Zhao. 2022. "Recent Progress in Contact Engineering of Field-Effect Transistor Based on Two-Dimensional Materials" Nanomaterials 12, no. 21: 3845. https://doi.org/10.3390/nano12213845

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop