Next Article in Journal
Degradation of Polylactic Acid Using Sub-Critical Water for Compost
Next Article in Special Issue
Cation Effect in the Corrosion Inhibition Properties of Coumarate Ionic Liquids and Acrylic UV-Coatings
Previous Article in Journal
Circular Intensity Differential Scattering for Label-Free Chromatin Characterization: A Review for Optical Microscopy
Previous Article in Special Issue
Polyaniline-Derived N-Doped Ordered Mesoporous Carbon Thin Films: Efficient Catalysts towards Oxygen Reduction Reaction
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Directed Self-Assembly of Block Copolymers for the Fabrication of Functional Devices

by
Christian Pinto-Gómez
1,
Francesc Pérez-Murano
1,
Joan Bausells
1,
Luis Guillermo Villanueva
2 and
Marta Fernández-Regúlez
1,3,*
1
Instituto de Microelectrónica de Barcelona (IMB-CNM, CSIC), Campus UAB, 08193 Bellaterra, Spain
2
Advanced NEMS Laboratory, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne, Switzerland
3
Universitat Autònoma de Barcelona, 08193 Bellaterra, Spain
*
Author to whom correspondence should be addressed.
Polymers 2020, 12(10), 2432; https://doi.org/10.3390/polym12102432
Submission received: 21 September 2020 / Revised: 15 October 2020 / Accepted: 16 October 2020 / Published: 21 October 2020
(This article belongs to the Special Issue State-of-the-Art Polymer Science and Technology in Spain (2020,2021))

Abstract

:
Directed self-assembly of block copolymers is a bottom-up approach to nanofabrication that has attracted high interest in recent years due to its inherent simplicity, high throughput, low cost and potential for sub-10 nm resolution. In this paper, we review the main principles of directed self-assembly of block copolymers and give a brief overview of some of the most extended applications. We present a novel fabrication route based on the introduction of directed self-assembly of block copolymers as a patterning option for the fabrication of nanoelectromechanical systems. As a proof of concept, we demonstrate the fabrication of suspended silicon membranes clamped by dense arrays of single-crystal silicon nanowires of sub-10 nm diameter. Resulting devices can be further developed for building up high-sensitive mass sensors based on nanomechanical resonators.

Graphical Abstract

1. Introduction

In standard micro/nanofabrication, structures and devices are created by depositing a variety of thin films, followed by pattern definition by lithography and etching to remove undesired regions of material. Decades of development in each of these three main steps have generated technological advances that have enabled a relentless miniaturization of microelectronics devices, keeping Moore’s Law and the race towards shrinkage alive [1,2].
The driving force of this steady reduction in feature size has been the evolution of lithography, as improvements in optical lithography have provided sufficient resolution to reach the 10 nm semiconductor node by means of deep ultraviolet (DUV) immersion lithography [3,4,5,6]. However, for further scaling, light diffraction limitations have led to the exploration of new lithographic solutions [7], which include extreme ultraviolet lithography (EUV) [8], nanoimprint lithography [9], multi-beam electron-beam lithography [10] and directed self-assembly (DSA) of block copolymers (BCPs) [11].
As of today, EUV has taken over the main spot for advanced lithography at the industry level and has already been introduced in production lines of nanoelectronic devices. As EUV and its combination with multiple patterning presents exponentially increased costs due to its extreme complexity, research in other less conventional lithographic techniques still remains of great interest.
It is in this scenario where DSA is considered as an attractive alternative for the fabrication of nanoscale structures, thanks to its high resolution, low cost, ease of integration and scalability [12,13,14]. Being an affordable high-resolution method with the possibility of scaling up, it has drawn a lot of attention in industrial semiconductor processing since the late 1990s [15,16,17], long before the commercial availability of EUV [18,19,20]. However, the present main limitation for its further incorporation into high-volume manufacturing is its capability to meet industry defect density standards [21,22].
DSA is based on the ability of BCPs to phase separate spontaneously. BCPs are macromolecules consisting of covalently bonded homogeneous blocks (or chains) of chemically different monomers. Due to the dis-affinity and repulsion forces between these blocks, and in order to present minimal free energy, BCPs segregate into microdomains after a thermally-driven phase separation process. They generate self-assembled patterns within the nano/microscale [23], which facilitates their use in high-resolution nanopatterning [24]. After self-assembly, one of the blocks is removed and the remaining polymer is used as mask to pattern the substrate underneath [25]. Furthermore, DSA has been incorporated into conventional 300-mm pilot lines with existing tracks for logic applications [26,27,28], and also in a variety of other applications, such as non-volatile memory, sensors, photovoltaics, solar cells, graphene patterning or liquid separation membranes [29,30,31].

2. Principles of the DSA of Block Copolymers

2.1. Phase Segregation in Diblock Copolymers

The simplest BCPs are linear A-b-B diblock copolymers, where A and B are two different polymeric blocks, joined together by a covalent bond. Three parameters determine the period, morphology and phase behavior: the total number of monomers forming the BCP (degree of chain polymerization, N), the relative volume fraction of each block (f) and the Flory-Huggins interaction parameter (χ) [23,32]. This parameter is inversely proportional to temperature [33], depends on BCP chemistry and gives an idea of how strong the repulsive force between the blocks is.
The microphase segregation strength of BCPs is usually expressed by the product χN [34] and, in order to observe an ordered state, it needs to be above the critical lower limit of χN = 10.5 [35]. For values below that, clear phase segregation does not occur. Therefore, to obtain structures with small period from BCPs with low N, high immiscibility between blocks is desired [36]. Finally, for a certain χN, polymer self-assembly will occur in different shapes depending on f. For instance, the microphase separation of an A-b-B diblock copolymer can take place in geometries like closed-packed spheres, hexagonally packed cylinders, body-centered spheres, gyroid or lamellae, depending on the relative volume fraction of blocks A and B [37].
An example of a diblock copolymer is polystyrene-block-poly(methyl methacrylate), PS-b-PMMA, formed by a chain of PS and a chain of PMMA covalently bonded. This BCP is amongst the most studied for nanolithography applications, as both blocks are polymers with well-known etch properties, easy to handle, present reasonable temperature ranges for annealing and similar affinity to air [38,39,40]. Extensive characterization of self-assembled PS-b-PMMA thin films has been carried out regarding annealing conditions, kinetics, defectivity, line-edge roughness and nanomechanical properties of the blocks [22,41,42,43,44,45,46,47,48,49].

2.2. Self-Assembly on Thin Films

When a diluted solution of BCP is spin-coated on a substrate in the form of a thin film and self-assembly is induced, microphase separation takes place in short-range order, generating condensed arrays of random periodic structures. In this situation, phase behavior is strongly influenced by surface energetics [50], and domain orientation will arise determined by the relative strength of the surface affinity to each block of the BCP. If the substrate shows higher affinity to one of the blocks, it will attract the domains with lower interaction energy stronger than the others, in an effort to keep free energy minimum at the polymer-substrate interface. This can cause the BCP to self-assemble in an undesired morphology that might be useless for nanolithography applications, for example.
To avoid this, the most straightforward way to control pattern orientation in the self-assembly of a BCP thin film is to balance the surface free energy between domains by spin-coating a random copolymer composed of the same monomer units as the block copolymer (PS-r-PMMA for PS-b-PMMA) in between substrate and BCP [38,51] (Figure 1). This thin film is known as neutral layer or brush, and by tweaking its composition the interface energy can be modified to favor the affinity of the substrate to one block or the other. Then, by applying a certain temperature higher than the glass transition temperature of both blocks or by placing the sample in a solvent atmosphere [52], homogeneous phase separation can be achieved.

2.3. Enabling Lithography: DSA of BCPs

In order to be useful for lithographic purposes, BCPs must be someway guided into the desired long-range order and morphology. To do so accurately, templates known as guiding patterns (GPs) are used to direct the self-assembly, whilst BCP properties (molecular weight and composition) and thermodynamics control the feature size, shape and uniformity of the resulting features. As the density of GPs is generally lower than the pitch of the self-assembled microdomains, BCPs are a valuable pattern multiplication method that is able to provide resolution enhancement to pre-patterned templates [53,54].
GPs are normally fabricated by top-down techniques, following two different approaches: chemoepitaxy and graphoepitaxy (Figure 2) [11,55,56]. Chemoepitaxy involves the creation of dense chemical patterns on a neutral substrate to generate preferential wetting sites for one of the blocks [57]. Multiple processes and techniques have been successfully used to selectively tune the surface free energy of a neutral surface, including photolithography [58], electron-beam lithography (EBL) and oxygen plasma functionalization [59,60,61,62,63], direct EBL exposure [64] and scanning-probe lithography [65,66,67].
Graphoepitaxy, on the other hand, is based on the definition of 3D features on the substrate, within DSA takes place [68,69,70,71,72]. These topographical templates can be physically tailored, and bottom and walls along the trenches chemically modified to impose different affinity to each of the polymer blocks, enforcing their orientation along the topography [73,74].

2.4. Present Directions

The low value of χ in PS-b-PMMA limits minimum attainable resolution (to about 22 nm) [20,75]. Solutions to overcome this limitation come from chain modification of well-known BCPs [76,77], the use of additives [78] or the pursuit of novel molecular architectures of high-χ BCPs, which combine polymers that are strongly immiscible, giving access to sharper phase-separation at smaller natural periods [79,80].
A wide variety of high-χ BCPs have been synthetized in the last few years, including organic and inorganic species [36,81,82,83,84,85]. Examples include organic polystyrene-b-poly(2-vinyl pyridine) (PS-b-P2VP) [86,87] or polystyrene-b-poly(propylene carbonate) (PS-b-PPC) [88], and inorganic polystyrene-b-poly(trimethylsilylstyrene) (PS-b-PTMSS) [89] or polystyrene-b-polydimethylsiloxane (PS-b-PDMS) [90], for instance.
The inclusion of inorganic blocks in BCPs is particularly interesting as they increase dis-affinity and provide higher etch contrast during selective block removal and pattern transfer [81,82,83,91]. The implementation and processing of such BCPs is not easy, nonetheless. For example, the lower surface energy of inorganic blocks can make it necessary to increase the number of etching steps for the pattern transfer due to the presence of preferential wetting layers at both air/polymer and substrate/polymer interfaces [92]. High-χ BCPs usually present low tolerance to high temperatures and tend to organize differently on the substrate surface than at the air surface, commonly requiring solvent annealing [93,94,95] or an overcoat of polymer to assure structures are standing up in the final BCP film [84,96,97].
Additionally, recent research on DSA has also been greatly focused on the study, understanding and mitigation of defects [98,99,100,101,102,103,104,105,106,107]. Minimization of defects is crucial for the incorporation of DSA into high-volume manufacturing, either as a primary patterning option or in combination with already established techniques like DUV or EUV.

3. Block Copolymers for the Fabrication of Functional Devices

Line-space pitch multiplication and contact via level patterning are the two pivotal applications of DSA in high-volume manufacturing. In line-space applications lamellar BCPs have been used as mask for the definition of arrays of silicon fins that constitute the central body of non-planar fin field-effect transistors (FinFETs). Multiple works have demonstrated the capability of DSA to fabricate silicon fins [108,109,110,111,112,113], with probably the two most well-known being the LiNe and IBM lift-off chemoepitaxial processes. In the LiNe process a cross-linked polymer mat is deposited on the substrate and then patterned by photolithography to define the GPs. Afterwards, the interspatial regions where the base polymer was removed after patterning are refilled with a neutral brush layer, followed by self-assembly of the BCP [114,115,116]. On the other side, in the IBM lift-off process sacrificial features are created by photolithography, followed by neutral layer deposition and lift-off, leaving both neutral brush and substrate areas with preference to one of the two blocks, exposed [58,117].
Regarding graphoepitaxial approaches, the most common strategy is to use topographical GPs in the shape of trenches, balancing the surface free energy between BCP domains and bottom of the trench, while un-grafted GP walls show stronger affinity to one of the blocks [39,118,119,120,121]. As consequence, when DSA is performed, domains are aligned perpendicularly to the bottom surface and parallel to the walls. However, this methodology presents several drawbacks. First, defects might occur due to local variation of affinity in areas of the sidewall. Second, high-resolution lithography is required for the fabrication of the GPs, as only few lamellae are normally possible to align parallel to the GP walls with low defectivity. Finally, great control in the deposition of the brush layer is needed to only graft it on the bottom of the trench and not on the sidewalls.
In contact via level patterning cylindrical or lamellar BCPs can be integrated directly into conventional CMOS lithography to generate contact-hole shrinking, contact multiplication or contact uniformity enhancement [122,123]. Firstly, GPs are pre-patterned using optical lithography (or EBL), followed by dry etching for their structuring. Afterwards, the surface of the cavity that serves as GP is tuned to be attractive to PMMA. Then, the BCP is spin-coated filling the GP and self-assembly is carried out by thermal annealing. Finally, PMMA is etched away, and the remaining PS is used as mask for pattern transfer of shrunk uniform holes [124,125].
The fabrication of silicon vertical structures in the form of nano-sized pillars is another promising target of DSA processes in nanoelectronics. Pillar fabrication has been demonstrated by combination of DSA with tone-inversion [126,127], by sequential infiltration synthesis in BCPs [128,129], and directly by pattern transfer of the BCP template [130]. As we approach the most extreme semiconductor nodes in terms of scaling, alternative architectures and devices such as vertical gate-all-around field-effect transistors (GAA FETs) or single-electron transistors (SETs) are entering into discussion [131], which could be potentially fabricated by DSA.
Furthermore, besides DSA for logic, research efforts in BCP technology have lately centered their attention on other emerging areas that were looking for large area nanostructuring techniques. Many of these fields are low demanding regarding BCP defectivity levels and, in many cases, self-assembly does not require to be directed, but rather take place on a free surface without guidance. Applications include, but are not restricted to, hard-disk drive and magnetic storage devices [126,132,133,134,135], nanophotonics and plasmonics materials [136,137,138], or chemical sensors [139]. Most often, BCPs are still used as templates for patterning, as in the case of graphene structuring [140,141,142,143], the fabrication of nanoporous membranes [144,145,146,147,148] or energy storage, photovoltaics and batteries [149,150,151,152]. In other applications, however, BCPs can present a more active role and can be used as stabilizing agent, for surface functionalization [153,154,155,156] or to aid in nanoparticle self-assembly [157].

4. DSA of BCPs for the Fabrication of Nanoelectromechanical Devices

Nanoelectromechanical systems (NEMS) are interesting building blocks for the realization of sensors as the properties conferred by their extremely reduced dimensions, large surface area-to-volume ratios and minimal masses allow obtaining ultrahigh sensitivity [158]. In particular, nanowires and membranes are functional structures to fabricate nanomechanical resonators. Being very small, they are easy to control electrostatically and show large resonant frequencies which, for instance, make them ideal for the fabrication of high-resolution mass sensors [159,160], where the shift in resonant frequency is an indication of a change in mass [161,162,163,164]. A wide spectrum of materials and techniques has been studied for their fabrication, including traditional micro/nanoelectronics top-down techniques and bottom-up nanofabrication approaches using atoms or molecules as aggregated blocks [165]. However little to no results can be found on the exploration of DSA of BCPs for the definition of NEMS.
Here we present a DSA process based on the graphoepitaxy of lamellar PS-b-PMMA, which enables the fabrication of ultra-thin silicon membranes suspended by high-density arrays of silicon nanowires (SiNWs) (Figure 3). Each step of the fabrication process is compatible with standard CMOS technology and can be scalable to high-volume manufacturing.
Nanowires obtained through this process display sub-10 nm diameters. Such small dimensions and reduced mass shoot their resonant frequencies up to values where their characterization as resonators themselves would not be trivial. To soften these conditions, devices were devised as a combination of arrays of sub-10 nm SiNWs and larger silicon membranes. The addition of these bigger structures as part of the final design reduces the resonant frequency of the system by increasing the total mass [166,167].
Firstly, SiO2 structures are created on top of a silicon-on-insulator (SOI) substrate by EBL, generating walls and trenches that will aid as GPs for graphoepitaxy. In addition, these structures not only serve as GPs for DSA, but also as mask to define the membranes. Then, graphoepitaxy of lamellar PS-b-PMMA is performed after previously grafting a neutral layer all over the GP surfaces. After selectively removing PMMA, PS and SiO2 are directly transferred onto the device layer of the SOI substrate by dry etching, creating the SiNWs and the silicon membranes. Finally, devices are released and suspended by attacking the buried oxide (BOX) in hydrofluoric acid.

4.1. Creation of Oxide GPs by EBL

As DSA was going to be carried out by graphoepitaxy, topographical GPs were created on top of the substrate to control and lead the BCP to self-assemble in the desired direction and orientation. We decided to define GPs by EBL, mainly due to its flexibility for prototyping. The starting substrates were SOI 2 × 2 cm2 chips with an ultra-thin top Si layer of 25 nm (BOX thickness of 152 nm), which defined final device thickness.
First, chips were cleaned by oxygen plasma at 600 W and dehydrated in a short baking step. Later, a single layer of 2% hydrogen silsesquioxane (HSQ) solution in methyl isobutyl ketone (MIBK) (XR-1541, Dow Corning, Midland, MI, USA) was spin-coated at 1500 rpm for 1 min, followed by a bake of 4 min at 80 °C on a hot-plate. When areas with HSQ are exposed with enough dose, it cross-links and transforms into a SiOx material, similar to silicon oxide [168].
GP designs were patterned in a Raith 150TWO tool (Raith GmbH, Dortmund, Germany) at 30 kV, with a 10 μm aperture and dose of 940 μC/cm2. After exposure, HSQ was developed in tetramethylammonium hydroxide (TMAH) 25% at 50 °C for 75 s, followed by a strong rinse in deionized water, a dip in isopropanol (IPA) and dried in N2.
Square and rectangular oxide-like GPs were fabricated (Figure 4a) maintaining trenches for graphoepitaxy with widths between 350 nm and 550 nm to ensure defect-free perpendicular alignment of PS-b-PMMA. Final GP height after exposure and development under these conditions was ∼30 nm.

4.2. Graphoepitaxy of PS-b-PMMA

As introduced in Section 3, usually in graphoepitaxy a neutral layer is deposited on the bottom of the trench, in order to balance the free energy between surface and BCPs [169,170] (Figure 5a). In this case, self-assembly occurs in vertical lamellae parallel to the walls and perpendicular to the bottom, in an interesting morphology for the pattern transfer of lines and spaces. Nevertheless, as mentioned, high-resolution lithography to fabricate the trenches and extreme control in the deposition of the neutral layer to graft it only at the bottom are needed.
In the process flow we present, we suggest a much less-demanding methodology in terms of GP resolution and brush deposition (Figure 5b). Here, by spin-coating a thick layer of random copolymer, we completely cover the GPs, grafting the brush on all the surfaces of the trench. As bottom and both walls present neutral affinity, after BCP annealing, PS and PMMA lamellae become perpendicularly aligned to bottom and sidewalls. This approach is much less strict, as extremely fine lithography is not needed anymore for the definition of the GPs, and the grafting of the brush layer is not constrained to the bottom of the trench.
After surface activation, a thick layer of a 1.5 wt. % solution in propylene glycol methyl ether acetate (PGMEA) of a random copolymer brush (PS60%-r-PMMA) was spin-coated at 1500 rpm for 30 s. The random BCP completely filled the gaps between the GPs, coating all surfaces. After a 5-min annealing step at 230 °C in a tube furnace with nitrogen atmosphere, the chips were rinsed again in PGMEA. This ensured that all the non-grafted brush was diluted and washed away, leaving behind a thin film of PS60%-r-PMMA attached to walls and bottom of the trenches. Subsequently, a 0.5 wt. % PGMEA solution of lamellar PS-b-PMMA (natural pitch L0 = 28 nm) was spin-coated at 1500 rpm for 30 s and annealed at 265 °C for 10 min in a tube furnace with a continuous flow of N2.
Atomic force microscopy (AFM) characterization showed that polymer thickness inside the trenches, including BCP and brush layer, filled the gap completely, regardless of the width of the gap of the GP (350 nm to 550 nm). In the areas outside the trenches, the BCP thin film was discontinuous, adopting the form of islands, due to the reduced thin film thickness obtained at 0.5 wt. %. Effective perpendicular alignment with respect to the GPs, demonstrates that the brush layer successfully covered bottom and trench walls (Figure 4b,c).

4.3. Selective PMMA Removal and Pattern Transfer

After DSA, PMMA domains were selectively removed by reactive-ion etching (RIE) in Ar/O2 plasma (AMS 110DE, Alcatel Micro Machining Systems, Annecy, France). ICP power was set at 200 W and chuck power at 5 W, eliminating PMMA from all gaps with enough selectivity to still leave ∼20 nm of PS unconsumed.
Pattern transfer onto the device layer of the SOI substrate, using as mask the remaining PS (for nanowires) and SiO2 GPs (for membranes), was carried out in a mixed mode Bosch process based on SF6 and C4F8 for 18 s (Figure 6). ICP power was set at 1200 W and chuck power at 10 W.
As shown by transmission electron microscopy (TEM) characterization (Figure 7), nanowire dimensions are smaller than the expected half-pitch of the BCP, which indicates a certain degree of isotropy in the pattern transfer. This can be explained by a combination of several phenomena: inefficient passivation, poor diffusion, re-deposition of material and high electric field gradients that deflect ions towards the sidewalls [171,172,173].

4.4. Disposal of Undesired SiNWs

Microphase segregation after DSA not only took place in between GP sidewalls, where the BCP was guided in the proper direction, but also in areas with residual BCP thickness along the border of the GPs. To eliminate these undesired structures, additional EBL and RIE steps were performed.
To do so, PMMA 950K (2% in Anisole, MicroChem Corp., Westborough, MA, USA) was deposited at 2000 rpm for 1 min and baked for 1 min at 180 °C on a hot-plate. Rectangular areas where nanowires wanted to be removed were exposed by EBL at 20 kV, with an aperture of 20 μm and dose of 180 μC/cm2. Samples were developed for 30 s in a MIBK/isopropanol 1:3 solution and 30 s in isopropanol. After development, samples were dry etched for 20 s following the same recipe used for the pattern transfer onto silicon, and lastly, the PMMA mask was stripped in O2 plasma.

4.5. Release of the Structures

In the final stage, structures were released from the substrate in low-pressure gas-phase HF (SPTS µEtch), to avoid potential collapse. Prior to etching, samples were baked at 250 °C for 2 min to remove humidity. The process was performed by introducing 1250 sccm of N2, 300 sccm of EtOH and 310 sccm of HF at a pressure of 126 Torr. Processing time was ~3 min but independently adjusted for each chip, to guarantee full release of the structures in the design without completely releasing the GPs that serve as clamping.
Ultra-thin silicon membranes suspended by dense arrays of SiNWs were successfully achieved after the final release from the BOX (Figure 8). Likewise, the mask of HSQ from the GPs was also totally etched away.
Final obtained structures were composed of arrays of SiNWs with lengths between 350 nm and 500 nm, combined with either a single or a pair of silicon membranes. These were designed rectangular or squared-shaped, with lateral dimensions ranging from 0.5 µm to 5 µm. The systems, according to finite element analysis simulations, present Eigenfrequencies in the 25–200 MHz range, depending of individual dimensions.

5. Conclusions

In this work we have introduced the opportunities that microphase separation of BCPs offers for patterning at the nanoscale. We have discussed how BCP microdomains placement and alignment can be directed by DSA methods, such as chemoepitaxy and graphoepitaxy, and demonstrated a process flow based on the latter for the fabrication of nanomechanical functional structures.
Obtained devices evidence DSA of BCPs enables the structuring of extremely high resolution features in a cost-effective manner. Moreover, the possibility of defining dense arrays of structures such as nanowires, which could be difficult by other high-resolution techniques like EBL due to proximity effects, is also proven.
DSA of BCPs is presently experiencing a delay in being adopted by high-volume manufacturing industries, like the semiconductor industry, due to difficulties in achieving a sufficiently low level of defectivity. However, we believe that DSA of BCPs is a viable solution to address application areas where the density of defects is not critical and the required functionality cannot be obtained by lithography with a reasonable cost of ownership. In this framework, we have addressed the manufacturing of nanoelectromechanical systems, and in particular dense arrays of suspended silicon nanowires, as an example of how DSA can be used in areas other than nanoelectronics. Although the process flow that we have developed combines DSA with electron beam lithography as a proof of concept (and therefore, with an overall low fabrication throughput), it can be easily adapted to other higher throughput top-down lithography techniques such as deep ultraviolet optical lithography. Other application areas will require similar efforts such as the ones presented here to take advantage of DSA integration into the manufacturing chain of functional nanometer scale devices.

Author Contributions

Conceptualization, M.F.-R., F.P.-M., J.B., C.P.-G. and L.G.V.; experimental, C.P.-G.; analysis, C.P.-G., M.F.-R., F.P.-M., and J.B.; writing—C.P.-G., F.P.-M., M.F.-R., and J.B.; project administration, F.P.-M., J.B. and M.F.-R.; funding acquisition, F.P.-M., J.B. and M.F.-R. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Government of Spain, under projects NANOINTEGRA (MINECO/FEDER, EU TEC2015-69864-R) and STARSED (RTI2018-102007-B-I00). This project has received funding from the European Union’s Horizon 2020 research and innovation programme under the Marie Skłodowska-Curie grant agreement No 665919 and the EU Horizon 2020 ASCENT programme (grant No 654384).

Acknowledgments

C.P.-G. acknowledges the Government of Spain for the doctoral contract BES-2016-076407. Block copolymer materials were supplied by Arkema S.A., Colombes, France. The authors acknowledge M. Schmidt and B. Sheehan from Tyndall National Institute for TEM characterization.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Moore, G.E. Progress in digital integrated electronics Reprinted with permission. Technical Digest. International Electron Devices Meeting, IEEE, 1975, pp. 11–13. IEEE SSCS Newsl. 2006, 11, 36–37. [Google Scholar] [CrossRef]
  2. Anonymous. Moore’s deviation. Editorial. Nat. Nanotechnol. 2017, 12, 1105. [Google Scholar] [CrossRef] [PubMed]
  3. Sanders, D.P. Advances in Patterning Materials for 193 nm Immersion Lithography. Chem. Rev. 2010, 110, 321–360. [Google Scholar] [CrossRef] [PubMed]
  4. Hara, A.; Nishimura, E.; Kushibiki, M.; Yamauchi, S.; Natori, S.; Yabe, K.; Oyama, K.; Yaeasghi, H. Advanced self-aligned DP process development for 22-nm node and beyond. In Proceedings of the SPIE Advances in Resist Materials and Processing Technology XXVII, San Jose, CA, USA, 22–24 February 2010; Volume 7639, p. 76391T. [Google Scholar]
  5. Kaul, A.B. Microelectronics to Nanoelectronics: Materials, Devices & Manufacturability; CRC Press: Boca Raton, FL, USA, 2017; ISBN 978-1-351-83238-0. [Google Scholar]
  6. Radamson, H.H.; Zhang, Y.; He, X.; Cui, H.; Li, J.; Xiang, J.; Liu, J.; Gu, S.; Wang, G. The challenges of advanced CMOS process from 2D to 3D. Appl. Sci. 2017, 7, 1047. [Google Scholar] [CrossRef]
  7. Neisser, M. Patterning roadmap: 2017 prospects. Adv. Opt. Technol. 2017, 6, 143–148. [Google Scholar] [CrossRef]
  8. Turkot, B.; Carson, S.L.; Lio, A.; Liang, T.; Phillips, M.; McCool, B.; Stenehjem, E.; Crimmins, T.; Zhang, G.; Sivakumar, S. EUV progress toward HVM readiness. In Proceedings of the SPIE Extreme Ultraviolet (EUV) Lithography VII, San Jose, CA, USA, 22–25 February 2016; Volume 9776, p. 977602. [Google Scholar]
  9. Barcelo, S.; Li, Z. Nanoimprint lithography for nanodevice fabrication. Nano Converg. 2016, 3, 21. [Google Scholar] [CrossRef] [Green Version]
  10. Servin, I.; Thiam, N.A.; Pimenta-Barros, P.; Pourteau, M.-L.; Mebiene, A.-P.; Jussot, J.; Pradelles, J.; Essomba, P.; Lattard, L.; Brandt, P.; et al. Ready for multi-beam exposure at 5kV on MAPPER tool: Lithographic and process integration performances of advanced resists/stack. In Proceedings of the SPIE Alternative Lithographic Technologies VII, San Jose, CA, USA, 23–26 February 2015; Volume 9423, p. 94231C. [Google Scholar]
  11. Cheng, J.Y.; Rettner, C.T.; Sanders, D.P.; Kim, H.-C.; Hinsberg, W.D. Dense Self-Assembly on Sparse Chemical Patterns: Rectifying and Multiplying Lithographic Patterns Using Block Copolymers. Adv. Mater. 2008, 20, 3155–3158. [Google Scholar] [CrossRef]
  12. Ruiz, R.; Kang, H.; Detcheverry, F.A.; Dobisz, E.; Kercher, D.S.; Albrecht, T.R.; de Pablo, J.J.; Nealey, P.F. Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly. Science 2008, 321, 936–939. [Google Scholar] [CrossRef]
  13. Somervell, M.; Yamauchi, T.; Okada, S.; Tomita, T.; Nishi, T.; Kawakami, S.; Muramatsu, M.; Iijima, E.; Rastogi, V.; Nakano, T.; et al. Driving DSA into volume manufacturing. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXII, San Jose, CA, USA, 23–26 February 2015; Volume 9425, p. 94250Q. [Google Scholar]
  14. Pan, D.Z. Directed self-assembly for advanced chips. Nat. Electron. 2018, 1, 530–531. [Google Scholar] [CrossRef]
  15. Mansky, P.; Haikin, P.; Thomas, E.L. Monolayer films of diblock copolymer microdomains for nanolithographic applications. J. Mater. Sci. 1995, 30, 1987–1992. [Google Scholar] [CrossRef]
  16. Mansky, P.; Harrison, C.K.; Chaikin, P.M.; Register, R.A.; Yao, N. Nanolithographic templates from diblock copolymer thin films. Appl. Phys. Lett. 1996, 68, 2586–2588. [Google Scholar] [CrossRef]
  17. Mansky, P.; Russell, T.P.; Hawker, C.J.; Pitsikalis, M.; Mays, J. Ordered Diblock Copolymer Films on Random Copolymer Brushes. Macromolecules 1997, 30, 6810–6813. [Google Scholar] [CrossRef]
  18. Hinsberg, W.; Cheng, J.; Kim, H.-C.; Sanders, D.P. Self-assembling materials for lithographic patterning: Overview, status, and moving forward. In Proceedings of the SPIE Alternative Lithographic Technologies II, San Jose, CA, USA, 23–25 February 2010; Volume 7637, p. 76370G. [Google Scholar]
  19. Herr, D.J.C. Directed block copolymer self-assembly for nanoelectronics fabrication. J. Mater. Res. 2011, 26, 122–139. [Google Scholar] [CrossRef]
  20. Jeong, S.-J.; Kim, J.Y.; Kim, B.H.; Moon, H.-S.; Kim, S.O. Directed self-assembly of block copolymers for next generation nanolithography. Mater. Today 2013, 16, 468–476. [Google Scholar] [CrossRef]
  21. Morris, M.A. Directed self-assembly of block copolymers for nanocircuitry fabrication. Microelectron. Eng. 2015, 132, 207–217. [Google Scholar] [CrossRef]
  22. Li, W.; Müller, M. Defects in the Self-Assembly of Block Copolymers and Their Relevance for Directed Self-Assembly. Annu. Rev. Chem. Biomol. Eng. 2015, 6, 187–216. [Google Scholar] [CrossRef]
  23. Bates, F.S.; Fredrickson, G.H. Block Copolymer Thermodynamics: Theory and Experiment. Annu. Rev. Phys. Chem. 1990, 41, 525–557. [Google Scholar] [CrossRef]
  24. Hamley, I.W. Nanostructure fabrication using block copolymers. Nanotechnology 2003, 14, R39–R54. [Google Scholar] [CrossRef]
  25. Black, C.T. Self-aligned self assembly of multi-nanowire silicon field effect transistors. Appl. Phys. Lett. 2005, 87, 163116. [Google Scholar] [CrossRef]
  26. Somervell, M.; Gronheid, R.; Hooge, J.; Nafus, K.; Delgadillo, P.R.; Thode, C.; Younkin, T.; Matsunaga, K.; Rathsack, B.; Scheer, S.; et al. Comparison of directed self-assembly integrations. In Proceedings of the SPIE Advances in Resist Materials and Processing Technology XXIX, San Jose, CA, USA, 13–15 February 2012; Volume 8325, p. 83250G. [Google Scholar]
  27. Somervell, M.; Yamauchi, T.; Okada, S.; Tomita, T.; Nishi, T.; Iijima, E.; Nakano, T.; Ishiguro, T.; Nagahara, S.; Iwaki, H.; et al. High-volume manufacturing equipment and processing for directed self-assembly applications. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXI, San Jose, CA, USA, 24–27 February 2014; Volume 9051, p. 90510N. [Google Scholar]
  28. Claveau, G.; Argoud, M.; Pimenta-Barros, P.; Chamiot-Maitral, G.; Tiron, R.; Chevalier, X.; Navarro, C. Latest evolution in a 300mm graphoepitaxy pilot line flow for L/S applications. In Proceedings of the SPIE Emerging Patterning Technologies, San Jose, CA, USA, 27 February–1 March 2017; Volume 10144, p. 1014411. [Google Scholar]
  29. Bai, W.; Ross, C.A. Functional nanostructured materials based on self-assembly of block copolymers. MRS Bull. 2016, 41, 100–107. [Google Scholar] [CrossRef]
  30. Gunkel, I. Directing Block Copolymer Self-Assembly on Patterned Substrates. Small 2018, 14, 1802872. [Google Scholar] [CrossRef] [PubMed]
  31. Kim, J.H.; Jin, H.M.; Yang, G.G.; Han, K.H.; Yun, T.; Shin, J.Y.; Jeong, S.-J.; Kim, S.O. Smart Nanostructured Materials based on Self-Assembly of Block Copolymers. Adv. Funct. Mater. 2020, 30, 1902049. [Google Scholar] [CrossRef]
  32. Bates, F.S. Polymer-polymer phase behavior. Science 1991, 251, 898–905. [Google Scholar] [CrossRef] [Green Version]
  33. Russell, T.P.; Hjelm, R.P.; Seeger, P.A. Temperature dependence of the interaction parameter of polystyrene and poly(methyl methacrylate). Macromolecules 1990, 23, 890–893. [Google Scholar] [CrossRef]
  34. Masson, J.-L.; Limary, R.; Green, P.F. Pattern formation and evolution in diblock copolymer thin films above the order–disorder transition. J. Chem. Phys. 2001, 114, 10963–10967. [Google Scholar] [CrossRef]
  35. Leibler, L. Theory of Microphase Separation in Block Copolymers. Macromolecules 1980, 13, 1602–1617. [Google Scholar] [CrossRef]
  36. Sinturel, C.; Bates, F.S.; Hillmyer, M.A. High χ–Low N Block Polymers: How Far Can We Go? ACS Macro Lett. 2015, 4, 1044–1050. [Google Scholar] [CrossRef]
  37. Hu, H.; Gopinadhan, M.; Osuji, C.O. Directed self-assembly of block copolymers: A tutorial review of strategies for enabling nanotechnology with soft matter. Soft Matter 2014, 10, 3867–3889. [Google Scholar] [CrossRef]
  38. Mansky, P.; Liu, Y.; Huang, E.; Russell, T.P.; Hawker, C. Controlling Polymer-Surface Interactions with Random Copolymer Brushes. Science 1997, 275, 1458–1460. [Google Scholar] [CrossRef]
  39. Rockford, L.; Liu, Y.; Mansky, P.; Russell, T.P.; Yoon, M.; Mochrie, S.G.J. Polymers on Nanoperiodic, Heterogeneous Surfaces. Phys. Rev. Lett. 1999, 82, 2602–2605. [Google Scholar] [CrossRef] [Green Version]
  40. Hirahara, E.; Cao, Y.; Paunescu, M.; Polishchuk, O.; Jeong, E.; Ng, E.; Shan, J.; Yin, J.; Kim, J.; Li, J.; et al. Directed Self-Assembly Materials for High Resolution beyond PS-b-PMMA. J. Photopolym. Sci. Tec. 2016, 29, 679–684. [Google Scholar] [CrossRef] [Green Version]
  41. Zhao, Y.; Sivaniah, E.; Hashimoto, T. SAXS Analysis of the Order−Disorder Transition and the Interaction Parameter of Polystyrene-block-poly(methyl methacrylate). Macromolecules 2008, 41, 9948–9951. [Google Scholar] [CrossRef]
  42. Perego, M.; Lupi, F.F.; Ceresoli, M.; Giammaria, T.J.; Seguini, G.; Enrico, E.; Boarino, L.; Antonioli, D.; Gianotti, V.; Sparnacci, K.; et al. Ordering dynamics in symmetric PS-b-PMMA diblock copolymer thin films during rapid thermal processing. J. Mater. Chem. C 2014, 2, 6655–6664. [Google Scholar] [CrossRef]
  43. Lorenzoni, M.; Evangelio, L.; Nicolet, C.; Navarro, C.; Paulo, A.S.; Murano, F.P. Nanomechanical properties of solvent cast PS and PMMA polymer blends and block co-polymers. In Proceedings of the SPIE Alternative Lithographic Technologies VII, San Jose, CA, USA, 23–26 February 2015; Volume 9423, p. 942325. [Google Scholar]
  44. Lorenzoni, M.; Evangelio, L.; Verhaeghe, S.; Nicolet, C.; Navarro, C.; Pérez-Murano, F. Assessing the Local Nanomechanical Properties of Self-Assembled Block Copolymer Thin Films by Peak Force Tapping. Langmuir 2015, 31, 11630–11638. [Google Scholar] [CrossRef]
  45. Lorenzoni, M.; Evangelio, L.; Fernández-Regúlez, M.; Nicolet, C.; Navarro, C.; Pérez-Murano, F. Sequential Infiltration of Self-Assembled Block Copolymers: A Study by Atomic Force Microscopy. J. Phys. Chem. C 2017, 121, 3078–3086. [Google Scholar] [CrossRef]
  46. Black, C.T.; Forrey, C.; Yager, K.G. Thickness-dependence of block copolymer coarsening kinetics. Soft Matter 2017, 13, 3275–3283. [Google Scholar] [CrossRef] [PubMed]
  47. Fernández-Regúlez, M.; Pinto-Gómez, C.; Perez-Murano, F. Synchrotron Radiation for the Understanding of Block Copolymer Self-assembly. J. Photopolym. Sci. Tec. 2019, 32, 423–427. [Google Scholar] [CrossRef] [Green Version]
  48. Fernández-Regúlez, M.; Solano, E.; Evangelio, L.; Gottlieb, S.; Pinto-Gómez, C.; Rius, G.; Fraxedas, J.; Gutiérrez-Fernández, E.; Nogales, A.; García-Gutiérrez, M.C.; et al. Self-assembly of block copolymers under non-isothermal annealing conditions as revealed by grazing-incidence small-angle X-ray scattering. J. Synchrotron Rad. 2020, 27, 1278–1288. [Google Scholar] [CrossRef]
  49. Suh, H.S.; Dudash, V.; Lorusso, G.; Mack, C. Roughness study on line and space patterning with chemo-epitaxy directed self-assembly. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXVII, San Jose, CA, USA, 24–26 February 2020; Volume 11326, p. 113260X. [Google Scholar]
  50. Albert, J.N.L.; Epps, T.H. Self-assembly of block copolymer thin films. Mater. Today 2010, 13, 24–33. [Google Scholar] [CrossRef]
  51. Huang, E.; Russell, T.P.; Harrison, C.; Chaikin, P.M.; Register, R.A.; Hawker, C.J.; Mays, J. Using Surface Active Random Copolymers to Control the Domain Orientation in Diblock Copolymer Thin Films. Macromolecules 1998, 31, 7641–7650. [Google Scholar] [CrossRef]
  52. Sinturel, C.; Vayer, M.; Morris, M.; Hillmyer, M.A. Solvent Vapor Annealing of Block Polymer Thin Films. Macromolecules 2013, 46, 5399–5415. [Google Scholar] [CrossRef]
  53. Tiron, R.; Chevalier, X.; Gaugiran, S.; Pradelles, J.; Fontaine, H.; Couderc, C.; Pain, L.; Navarro, C.; Chevolleau, T.; Cunge, G.; et al. Pattern density multiplication by direct self assembly of block copolymers: Toward 300 mm CMOS requirements. In Proceedings of the SPIE Alternative Lithographic Technologies IV, San Jose, CA, USA, 13–16 February 2012; Volume 8323, p. 83230O. [Google Scholar]
  54. Wang, S.; Deng, W.; Seow, Y.A.; Chen, B.; Lin, Q.Y. Study of Line-Space Pitch Multiplication Using Graphoepitaxy Directed Self-Assembly for Semiconductor Applications. J. Electron. Mater. 2017, 46, 4405–4413. [Google Scholar] [CrossRef]
  55. Black, C.T.; Ruiz, R.; Breyta, G.; Cheng, J.Y.; Colburn, M.E.; Guarini, K.W.; Kim, H.-C.; Zhang, Y. Polymer self assembly in semiconductor microelectronics. IBM J. Res. Dev. 2007, 51, 605–633. [Google Scholar] [CrossRef]
  56. Oria, L.; de Luzuriaga, A.R.; Chevalier, X.; Alduncin, J.A.; Mecerreyes, D.; Tiron, R.; Gaugiran, S.; Perez-Murano, F. Guided self-assembly of block-copolymer for CMOS technology: A comparative study between grapho-epitaxy and surface chemical modification. In Proceedings of the SPIE Alternative Lithographic Technologies III, San Jose, CA, USA, 1–3 March 2011; Volume 7970, p. 79700P. [Google Scholar]
  57. Kim, S.O.; Solak, H.H.; Stoykovich, M.P.; Ferrier, N.J.; de Pablo, J.J.; Nealey, P.F. Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates. Nature 2003, 424, 411–414. [Google Scholar] [CrossRef] [PubMed]
  58. Cheng, J.Y.; Sanders, D.P.; Truong, H.D.; Harrer, S.; Friz, A.; Holmes, S.; Colburn, M.; Hinsberg, W.D. Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist. ACS Nano 2010, 4, 4815–4823. [Google Scholar] [CrossRef]
  59. Oria, L.; de Luzuriaga, A.R.; Alduncín, J.A.; Pérez-Murano, F. Block co-polymer guided self-assembly by surface chemical modification: Optimization of multiple patterning process and pattern transfer. In Proceedings of the SPIE Alternative Lithographic Technologies IV, San Jose, CA, USA, 13–16 February 2012; Volume 8323, p. 832327. [Google Scholar]
  60. Oria, L.; de Luzuriaga, A.R.; Alduncin, J.A.; Perez-Murano, F. Polystyrene as a brush layer for directed self-assembly of block co-polymers. Microelectron. Eng. 2013, 110, 234–240. [Google Scholar] [CrossRef]
  61. Evangelio, L.; Gramazio, F.; Lorenzoni, M.; Gorgoi, M.; Espinosa, F.M.; García, R.; Pérez-Murano, F.; Fraxedas, J. Identifying the nature of surface chemical modification for directed self-assembly of block copolymers. Beilstein J. Nanotechnol. 2017, 8, 1972–1981. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  62. Navarro, C.; Nicolet, C.; Ariura, F.; Chevalier, X.; Xu, K.; Hockey, M.A.; Mumtaz, M.; Fleury, G.; Hadziioannou, G.; Legrain, A.; et al. Recent Achievements in Sub-10 nm DSA Lithography for Line/Space Patterning. J. Photopolym. Sci. Tec. 2017, 30, 69–75. [Google Scholar] [CrossRef]
  63. Evangelio, L.; Fernández-Regúlez, M.; Fraxedas, J.; Müller, M.; Pérez-Murano, F. Role of Penetrability into a Brush-Coated Surface in Directed Self-Assembly of Block Copolymers. ACS Appl. Mater. Inter. 2018, 11, 3571–3581. [Google Scholar] [CrossRef]
  64. Evangelio, L.; Fernández-Regúlez, M.; Borrisé, X.; Lorenzoni, M.; Fraxedas, J.; Pérez-Murano, F. Creation of guiding patterns for directed self-assembly of block copolymers by resistless direct e-beam exposure. J. Micro-Nanolith. MEM 2015, 14, 033511. [Google Scholar] [CrossRef]
  65. Fernández-Regúlez, M.; Evangelio, L.; Lorenzoni, M.; Fraxedas, J.; Pérez-Murano, F. Sub-10 nm Resistless Nanolithography for Directed Self-Assembly of Block Copolymers. ACS Appl. Mater. Inter. 2014, 6, 21596–21602. [Google Scholar] [CrossRef]
  66. Gottlieb, S.; Lorenzoni, M.; Evangelio, L.; Fernández-Regúlez, M.; Ryu, Y.K.; Rawlings, C.; Spieser, M.; Knoll, A.W.; Perez-Murano, F. Thermal scanning probe lithography for the directed self-assembly of block copolymers. Nanotechnology 2017, 28, 175301. [Google Scholar] [CrossRef] [PubMed]
  67. Gottlieb, S.; Fernández-Regúlez, M.; Lorenzoni, M.; Evangelio, L.; Perez-Murano, F. Grain-Boundary-Induced Alignment of Block Copolymer Thin Films. Nanomaterials 2020, 10, 103. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  68. Segalman, R.A.; Yokoyama, H.; Kramer, E.J. Graphoepitaxy of Spherical Domain Block Copolymer Films. Adv. Mater. 2001, 13, 1152–1155. [Google Scholar] [CrossRef]
  69. Black, C.T. Polymer Self-Assembly as a Novel Extension to Optical Lithography. ACS Nano 2007, 1, 147–150. [Google Scholar] [CrossRef]
  70. Claveau, G.; Quemere, P.; Argoud, M.; Hazart, J.; Barros, P.P.; Sarrazin, A.; Posseme, N.; Tiron, R.; Chevalier, X.; Nicolet, C.; et al. Surface affinity role in graphoepitaxy of lamellar block copolymers. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXIII, San Jose, CA, USA, 22–25 February 2016; Volume 9779, p. 97791F. [Google Scholar]
  71. Borah, D.; Cummins, C.; Rasappa, S.; Senthamaraikannan, R.; Salaun, M.; Zelsmann, M.; Liontos, G.; Ntetsikas, K.; Avgeropoulos, A.; Morris, M.A. Nanopatterning via Self-Assembly of a Lamellar-Forming Polystyrene-block-Poly(dimethylsiloxane) Diblock Copolymer on Topographical Substrates Fabricated by Nanoimprint Lithography. Nanomaterials 2018, 8, 32. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  72. Gottlieb, S.; Rösner, B.; Evangelio, L.; Fernández-Regúlez, M.; Nogales, A.; García-Gutiérrez, M.C.; Keller, F.T.; Fraxedas, J.; Ezquerra, A.T.; David, C.; et al. Self-assembly morphology of block copolymers in sub-10 nm topographical guiding patterns. Mol. Syst. Des. Eng. 2019, 4, 175–185. [Google Scholar] [CrossRef] [Green Version]
  73. Cheng, J.Y.; Zhang, F.; Chuang, V.P.; Mayes, A.M.; Ross, C.A. Self-Assembled One-Dimensional Nanostructure Arrays. Nano Lett. 2006, 6, 2099–2103. [Google Scholar] [CrossRef] [PubMed]
  74. Tavakkoli, K.G.A.; Gotrik, K.W.; Hannon, A.F.; Alexander-Katz, A.; Ross, C.A.; Berggren, K.K. Templating Three-Dimensional Self-Assembled Structures in Bilayer Block Copolymer Films. Science 2012, 336, 1294–1298. [Google Scholar] [CrossRef] [PubMed]
  75. Wan, L.; Ruiz, R.; Gao, H.; Patel, K.C.; Albrecht, T.R.; Yin, J.; Kim, J.; Cao, Y.; Lin, G. The Limits of Lamellae-Forming PS-b-PMMA Block Copolymers for Lithography. ACS Nano 2015, 9, 7506–7514. [Google Scholar] [CrossRef] [PubMed]
  76. Yoshida, K.; Tanaka, S.; Yamamoto, T.; Tajima, K.; Borsali, R.; Isono, T.; Satoh, T. Chain-End Functionalization with a Saccharide for 10 nm Microphase Separation: “Classical” PS-b-PMMA versus PS-b-PMMA-Saccharide. Macromolecules 2018, 51, 8870–8877. [Google Scholar] [CrossRef]
  77. Yoshida, K.; Yamamoto, T.; Tajima, K.; Isono, T.; Satoh, T. Installing a functional group into the inactive ω-chain end of PMMA and PS-b-PMMA by terminal-selective transesterification. Polym. Chem. 2019, 10, 3390–3398. [Google Scholar] [CrossRef]
  78. Sunday, D.F.; Chen, X.; Albrecht, T.R.; Nowak, D.; Delgadillo, P.R.; Dazai, T.; Miyagi, K.; Maehashi, T.; Yamazaki, A.; Nealey, P.F.; et al. Influence of Additives on the Interfacial Width and Line Edge Roughness in Block Copolymer Lithography. Chem. Mater. 2020, 32, 2399–2407. [Google Scholar] [CrossRef]
  79. Tsai, H.; Miyazoe, H.; Vora, A.; Magbitang, T.; Arellano, N.; Liu, C.-C.; Maher, M.J.; Durand, W.J.; Dawes, S.J.; Bucchignano, J.J.; et al. High chi block copolymer DSA to improve pattern quality for FinFET device fabrication. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXIII, San Jose, CA, USA, 22–25 February 2016; Volume 9779, p. 977910. [Google Scholar]
  80. Lane, A.P.; Yang, X.; Maher, M.J.; Blachut, G.; Asano, Y.; Someya, Y.; Mallavarapu, A.; Sirard, S.M.; Ellison, C.J.; Willson, C.G. Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae. ACS Nano 2017, 11, 7656–7665. [Google Scholar] [CrossRef] [PubMed]
  81. Asakawa, K.; Hiraoka, T.; Hieda, H.; Sakurai, M.; Kamata, Y.; Naito, K. Nano-Patterning for Patterned Media using Block-Copolymer. J. Photopolym. Sci. Tec. 2002, 15, 465–470. [Google Scholar] [CrossRef] [Green Version]
  82. Cushen, J.D.; Otsuka, I.; Bates, C.M.; Halila, S.; Fort, S.; Rochas, C.; Easley, J.A.; Rausch, E.L.; Thio, A.; Borsali, R.; et al. Oligosaccharide/silicon-containing block copolymers with 5 nm features for lithographic applications. ACS Nano 2012, 6, 3424–3433. [Google Scholar] [CrossRef]
  83. Cushen, J.; Wan, L.; Blachut, G.; Maher, M.J.; Albrecht, T.R.; Ellison, C.J.; Willson, C.G.; Ruiz, R. Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 nm PTMSS-b-PMOST. ACS Appl. Mater. Interfaces 2015, 7, 13476–13483. [Google Scholar] [CrossRef]
  84. Bates, C.M.; Seshimo, T.; Maher, M.J.; Durand, W.J.; Cushen, J.D.; Dean, L.M.; Blachut, G.; Ellison, C.J.; Willson, C.G. Polarity-Switching Top Coats Enable Orientation of Sub–10-nm Block Copolymer Domains. Science 2012, 338, 775–779. [Google Scholar] [CrossRef]
  85. Blachut, G.; Sirard, S.M.; Maher, M.J.; Asano, Y.; Someya, Y.; Lane, A.P.; Durand, W.J.; Bates, C.M.; Dinhobl, A.M.; Gronheid, R.; et al. A Hybrid Chemo-/Grapho-Epitaxial Alignment Strategy for Defect Reduction in Sub-10 nm Directed Self-Assembly of Silicon-Containing Block Copolymers. Chem. Mater. 2016, 28, 8951–8961. [Google Scholar] [CrossRef]
  86. Xiong, S.; Chapuis, Y.-A.; Wan, L.; Gao, H.; Li, X.; Ruiz, R.; Nealey, P.F. Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing. Nanotechnology 2016, 27, 415601. [Google Scholar] [CrossRef]
  87. Xiong, S.; Wan, L.; Ishida, Y.; Chapuis, Y.-A.; Craig, G.S.W.; Ruiz, R.; Nealey, P.F. Directed Self-Assembly of Triblock Copolymer on Chemical Patterns for Sub-10-nm Nanofabrication via Solvent Annealing. ACS Nano 2016, 10, 7855–7865. [Google Scholar] [CrossRef] [PubMed]
  88. Yang, G.-W.; Wu, G.-P.; Chen, X.; Xiong, S.; Arges, C.G.; Ji, S.; Nealey, P.F.; Lu, X.-B.; Darensbourg, D.J.; Xu, Z.-K. Directed Self-Assembly of Polystyrene-b-poly(propylene carbonate) on Chemical Patterns via Thermal Annealing for Next Generation Lithography. Nano Lett. 2017, 17, 1233–1239. [Google Scholar] [CrossRef] [PubMed]
  89. Maher, M.J.; Bates, C.M.; Blachut, G.; Sirard, S.; Self, J.L.; Carlson, M.C.; Dean, L.M.; Cushen, J.D.; Durand, W.J.; Hayes, C.O.; et al. Interfacial Design for Block Copolymer Thin Films. Chem. Mater. 2014, 26, 1471–1479. [Google Scholar] [CrossRef]
  90. Borah, D.; Ozmen, M.; Rasappa, S.; Shaw, M.T.; Holmes, J.D.; Morris, M.A. Molecularly Functionalized Silicon Substrates for Orientation Control of the Microphase Separation of PS-b-PMMA and PS-b-PDMS Block Copolymer Systems. Langmuir 2013, 29, 2809–2820. [Google Scholar] [CrossRef]
  91. Durand, W.J.; Blachut, G.; Maher, M.J.; Sirard, S.; Tein, S.; Carlson, M.C.; Asano, Y.; Zhou, S.X.; Lane, A.P.; Bates, C.M.; et al. Design of high-χ block copolymers for lithography. J. Polym. Sci. A Polym. Chem. 2015, 53, 344–352. [Google Scholar] [CrossRef]
  92. Yamada, Y.; Ito, K.; Miura, A.; Harada, M.; Matsunaga, T.; Kato, Y.; Matsui, T.; Iizuka, H.; Wakayama, H. Perpendicular SiO2 cylinders fabricated from a self-assembled block copolymer as an adaptable platform. Eur. Polym. J. 2018, 107, 96–104. [Google Scholar] [CrossRef]
  93. Albalak, R.J.; Capel, M.S.; Thomas, E.L. Solvent swelling of roll-cast triblock copolymer films. Polymer 1998, 39, 1647–1656. [Google Scholar] [CrossRef]
  94. Jung, Y.S.; Ross, C.A. Orientation-Controlled Self-Assembled Nanolithography Using a Polystyrene−Polydimethylsiloxane Block Copolymer. Nano Lett. 2007, 7, 2046–2050. [Google Scholar] [CrossRef]
  95. Cummins, C.; Kelly, R.A.; Gangnaik, A.; Georgiev, Y.M.; Petkov, N.; Holmes, J.D.; Morris, M.A. Solvent vapor annealing of block copolymers in confined topographies: Commensurability considerations for nanolithography. Macromol. Rapid Commun. 2015, 36, 762–767. [Google Scholar] [CrossRef]
  96. Seshimo, T.; Bates, C.M.; Dean, L.M.; Cushen, J.D.; Durand, W.J.; Maher, M.J.; Ellison, C.J.; Willson, C.G. Block copolymer orientation control using a top-coat surface treatment. J. Photopolym. Sci. Tec. 2012, 25, 125–130. [Google Scholar] [CrossRef] [Green Version]
  97. Ober, C.K. Directed self-assembly: A dress code for block copolymers. Nat. Nanotechnol. 2017, 12, 507–508. [Google Scholar] [CrossRef] [PubMed]
  98. Delgadillo, P.A.R.; Gronheid, R.; Thode, C.J.; Wu, H.; Cao, Y.; Somervell, M.; Nafus, K.; Nealey, P.F. All track directed self-assembly of block copolymers: Process flow and origin of defects. In Proceedings of the SPIE Alternative Lithographic Technologies IV, San Jose, CA, USA, 13–16 February 2012; Volume 8323, p. 83230D. [Google Scholar]
  99. Delgadillo, P.R.; Harukawa, R.; Suri, M.; Durant, S.; Cross, A.; Nagaswami, V.R.; Heuvel, D.V.D.; Gronheid, R.; Nealey, P. Defect source analysis of directed self-assembly process (DSA of DSA). In Proceedings of the SPIE Alternative Lithographic Technologies V, San Jose, CA, USA, 25–28 February 2013; Volume 8680, p. 86800L. [Google Scholar]
  100. Gronheid, R.; Singh, A.; Younkin, T.R.; Delgadillo, P.R.; Nealey, P.; Chan, B.T.; Nafus, K.; Negreira, A.R.; Somervell, M. Rectification of EUV-patterned contact holes using directed self-assembly. In Proceedings of the SPIE Advances in Resist Materials and Processing Technology XXX, San Jose, CA, USA, 25–27 February 2013; Volume 8682, p. 86820A. [Google Scholar]
  101. Ito, C.; Durant, S.; Lange, S.; Harukawa, R.; Miyagi, T.; Nagaswami, V.; Delgadillo, P.R.; Gronheid, R.; Nealey, P. Inspection of directed self-assembly defects. In Proceedings of the SPIE Alternative Lithographic Technologies VI, San Jose, CA, USA, 24–27 February 2014; Volume 9049, p. 90492D. [Google Scholar]
  102. Muramatsu, M.; Nishi, T.; You, G.; Ido, Y.; Kitano, T. Pattern defect reduction for chemo-epitaxy DSA process. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXVI, San Jose, CA, USA, 25–28 February 2019; Gronheid, R., Sanders, D.P., Eds.; SPIE: Bellingham, WA, USA, 2019. [Google Scholar]
  103. Doise, J.; Koh, J.H.; Kim, J.Y.; Zhu, Q.; Kinoshita, N.; Suh, H.S.; Delgadillo, P.R.; Vandenberghe, G.; Willson, C.G.; Ellison, C.J. Strategies for Increasing the Rate of Defect Annihilation in the Directed Self-Assembly of High-χ Block Copolymers. ACS Appl. Mater. Interfaces 2019, 11, 48419–48427. [Google Scholar] [CrossRef] [PubMed]
  104. Li, J.; Rincon-Delgadillo, P.A.; Suh, H.S.; Mannaert, G.; Nealey, P.F. Kinetic approach to defect reduction in directed self-assembly. J. Micro-Nanolith. MEM 2019, 18, 043502. [Google Scholar] [CrossRef]
  105. Doise, J.; Mannaert, G.; Suh, H.S.; Rincon, P.; Koh, J.H.; Kim, J.Y.; Zhu, Q.; Vandenberghe, G.; Willson, C.G.; Ellison, C.J. Defect mitigation in sub-20nm patterning with high-chi, silicon-containing block copolymers. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXVI, San Jose, CA, USA, 25–28 February 2019; Volume 10960, p. 109600Y. [Google Scholar]
  106. Kim, Y.C.; Shin, T.J.; Hur, S.-M.; Kwon, S.J.; Kim, S.Y. Shear-solvo defect annihilation of diblock copolymer thin films over a large area. Sci. Adv. 2019, 5, eaaw3974. [Google Scholar] [CrossRef] [Green Version]
  107. Muramatsu, M.; Nishi, T.; Ido, Y.; Kitano, T. Defect mitigation of chemo-epitaxy DSA patterns. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXVII, San Jose, CA, USA, 24–26 February 2020; Volume 11326, p. 113260Y. [Google Scholar]
  108. Liu, C.-C.; Franke, E.; Mignot, Y.; Xie, R.; Yeung, C.W.; Zhang, J.; Chi, C.; Zhang, C.; Farrell, R.; Lai, K.; et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond. Nat. Electron. 2018, 1, 562–569. [Google Scholar] [CrossRef]
  109. Jeong, S.-J.; Kim, J.E.; Moon, H.-S.; Kim, B.H.; Kim, S.M.; Kim, J.B.; Kim, S.O. Soft Graphoepitaxy of Block Copolymer Assembly with Disposable Photoresist Confinement. Nano Lett. 2009, 9, 2300–2305. [Google Scholar] [CrossRef]
  110. Kim, J.; Wan, J.; Miyazaki, S.; Yin, J.; Cao, Y.; Her, Y.J.; Wu, H.; Shan, J.; Kurosawa, K.; Lin, G. The SMART Process for Directed Block Co-Polymer Self-Assembly. J. Photopolym. Sci. Tec. 2013, 26, 573–579. [Google Scholar] [CrossRef] [Green Version]
  111. Liu, C.-C.; Lie, F.L.; Rastogi, V.; Franke, E.; Mohanty, N.; Farrell, R.; Tsai, H.; Lai, K.; Ozlem, M.; Cho, W.; et al. Fin formation using graphoepitaxy DSA for FinFET device fabrication. In Proceedings of the SPIE Alternative Lithographic Technologies VII, San Jose, CA, USA, 23–26 February 2015; Volume 9423, p. 94230S. [Google Scholar]
  112. Singh, A.; Chan, B.T.; Parnell, D.; Wu, H.; Yin, J.; Cao, Y.; Gronheid, R. Patterning sub-25nm half-pitch hexagonal arrays of contact holes with chemo-epitaxial DSA guided by ArFi pre-patterns. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXII, San Jose, CA, USA, 23–26 February 2015; Volume 9425, p. 94250X. [Google Scholar]
  113. Seino, Y.; Kasahara, Y.; Sato, H.; Kobayashi, K.; Kubota, H.; Minegishi, S.; Miyagi, K.; Kanai, H.; Kodera, K.; Kihara, N.; et al. Directed self-assembly lithography using coordinated line epitaxy (COOL) process. In Proceedings of the SPIE Alternative Lithographic Technologies VII, San Jose, CA, USA, 23–26 February 2015; p. 942316. [Google Scholar]
  114. Liu, C.-C.; Han, E.; Onses, M.S.; Thode, C.J.; Ji, S.; Gopalan, P.; Nealey, P.F. Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats. Macromolecules 2011, 44, 1876–1885. [Google Scholar] [CrossRef]
  115. Liu, C.-C.; Ramírez-Hernández, A.; Han, E.; Craig, G.S.W.; Tada, Y.; Yoshida, H.; Kang, H.; Ji, S.; Gopalan, P.; de Pablo, J.J.; et al. Chemical Patterns for Directed Self-Assembly of Lamellae-Forming Block Copolymers with Density Multiplication of Features. Macromolecules 2013, 46, 1415–1424. [Google Scholar] [CrossRef]
  116. Sayan, S.; Marzook, T.; Chan, B.T.; Vandenbroeck, N.; Singh, A.; Laidler, D.; Sanchez, E.A.; Leray, P.; Delgadillo, P.R.; Gronheid, R.; et al. Toward sub-20nm pitch Fin patterning and integration with DSA. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXIII, San Jose, CA, USA, 22–25 February 2016; Volume 9779, p. 97790R. [Google Scholar]
  117. Liu, C.-C.; Estrada-Raygoza, I.C.; Abdallah, J.; Holmes, S.; Yin, Y.; Schepis, A.; Cicoria, M.; Hetzer, D.; Tsai, H.; Guillorn, M.; et al. Directed self-assembly process implementation in a 300mm pilot line environment. In Proceedings of the SPIE Alternative Lithographic Technologies V, San Jose, CA, USA, 25–28 February 2013; Volume 8680, p. 86801G. [Google Scholar]
  118. Russell, T.P.; Coulon, G.; Deline, V.R.; Miller, D.C. Characteristics of the surface-induced orientation for symmetric diblock PS/PMMA copolymers. Macromolecules 1989, 22, 4600–4606. [Google Scholar] [CrossRef]
  119. Park, S.-M.; Stoykovich, M.P.; Ruiz, R.; Zhang, Y.; Black, C.T.; Nealey, P.F. Directed Assembly of Lamellae- Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates. Adv. Mater. 2007, 19, 607–611. [Google Scholar] [CrossRef]
  120. Tsai, H.; Pitera, J.W.; Miyazoe, H.; Bangsaruntip, S.; Engelmann, S.U.; Liu, C.-C.; Cheng, J.Y.; Bucchignano, J.J.; Klaus, D.P.; Joseph, E.A.; et al. Two-Dimensional Pattern Formation Using Graphoepitaxy of PS-b-PMMA Block Copolymers for Advanced FinFET Device and Circuit Fabrication. ACS Nano 2014, 8, 5227–5232. [Google Scholar] [CrossRef] [PubMed]
  121. Gottlieb, S.; Kazazis, D.; Mochi, I.; Evangelio, L.; Fernández-Regúlez, M.; Ekinci, Y.; Perez-Murano, F. Nano-confinement of block copolymers in high accuracy topographical guiding patterns: Modelling the emergence of defectivity due to incommensurability. Soft Matter 2018, 14, 6799–6808. [Google Scholar] [CrossRef]
  122. Tiron, R.; Gharbi, A.; Argoud, M.; Chevalier, X.; Belledent, J.; Pimenta Barros, P.; Navarro, C.; Cunge, G.; Pain, L.; Asai, M.; et al. The potential of block copolymer’s directed self-assembly for contact hole shrink and contact multiplication. In Proceedings of the SPIE Alternative Lithographic Technologies V, San Jose, CA, USA, 25–28 February 2013; Volume 8680, p. 868012. [Google Scholar]
  123. Tiron, R.; Gharbi, A.; Barros, P.P.; Bouanani, S.; Lapeyre, C.; Bos, S.; Fouquet, A.; Hazart, J.; Chevalier, X.; Argoud, M.; et al. Template affinity role in CH shrink by DSA planarization. In Proceedings of the SPIE Alternative Lithographic Technologies VII, San Jose, CA, USA, 23–26 February 2015; Volume 9423, p. 942317. [Google Scholar]
  124. Servin, I.; Tiron, R.; Gharbi, A.; Argoud, M.; Jullian, K.; Chamiot-Maitral, G.; Barros, P.P.; Chevalier, X.; Belledent, J.; Bossy, X.; et al. Contact hole shrink by directed self-assembly: Process integration and stability monitored on 300 mm pilot line. Jpn. J. Appl. Phys. 2014, 53, 06JC05. [Google Scholar] [CrossRef]
  125. Gharbi, A.; Tiron, R.; Argoud, M.; Chamiot-Maitral, G.; Fouquet, A.; Lapeyre, C.; Barros, P.P.; Delachat, F.; Bos, S.; Bouanani, S.; et al. Process highlights to enhance directed self-assembly contact patterning performances. J. Micro/Nanolith. MEMS MOEMS 2016, 15, 043503. [Google Scholar] [CrossRef]
  126. Liu, C.-C.; Franke, E.; Mignot, Y.; LeFevre, S.; Sieg, S.; Chi, C.; Meli, L.; Parnell, D.; Schmidt, K.; Sanchez, M.; et al. DSA patterning options for logics and memory applications. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXIV, San Jose, CA, USA, 27 February–3 March 2017; Volume 10146, p. 1014603. [Google Scholar]
  127. Bruce, R.L.; Fraczak, G.; Papalia, J.M.; Tsai, H.; BrightSky, M.; Miyazoe, H.; Zhu, Y.; Engelmann, S.U.; Lung, H.-L.; Masuda, T.; et al. Directed self-assembly patterning strategies for phase change memory applications. In Proceedings of the SPIE Advanced Etch Technology for Nanopatterning VI, San Jose, CA, USA, 27 February–1 March 2017; Volume 10149, p. 101490J. [Google Scholar]
  128. Tseng, Y.-C.; Peng, Q.; Ocola, L.E.; Elam, J.W.; Darling, S.B. Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis. J. Phys. Chem. C 2011, 115, 17725–17729. [Google Scholar] [CrossRef]
  129. Elam, J.W.; Biswas, M.; Darling, S.B.; Yanguas-Gil, A.; Emery, J.D.; Martinson, A.B.F.; Nealey, P.F.; Segal-Peretz, T.; Peng, Q.; Winterstein, J.; et al. New Insights into Sequential Infiltration Synthesis. ECS Trans. 2015, 69, 147–157. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  130. Gharbi, A.; Pimenta-Barros, P.; Saouaf, O.; Reynaud, G.; Pain, L.; Tiron, R.; Navarro, C.; Nicolet, C.; Cayrefourcq, I.; Perego, M.; et al. Pillars fabrication by DSA lithography: Material and process options. In Proceedings of the SPIE Advances in Patterning Materials and Processes XXXV, San Jose, CA, USA, 26 February–1 March 2018; Volume 10586, p. 105860Q. [Google Scholar]
  131. Amat, E.; del Moral, A.; Fernández-Regúlez, M.; Evangelio, L.; Lorenzoni, M.; Gharbi, A.; Rademaker, G.; Pourteau, M.-L.; Tiron, R.; Bausells, J.; et al. Exploring Strategies to Contact 3D Nano-Pillars. Nanomaterials 2020, 10, 716. [Google Scholar] [CrossRef] [Green Version]
  132. Ruiz, R.; Dobisz, E.; Albrecht, T.R. Rectangular Patterns Using Block Copolymer Directed Assembly for High Bit Aspect Ratio Patterned Media. ACS Nano 2011, 5, 79–84. [Google Scholar] [CrossRef]
  133. Park, W.I.; You, B.K.; Mun, B.H.; Seo, H.K.; Lee, J.Y.; Hosaka, S.; Yin, Y.; Ross, C.A.; Lee, K.J.; Jung, Y.S. Self-Assembled Incorporation of Modulated Block Copolymer Nanostructures in Phase-Change Memory for Switching Power Reduction. ACS Nano 2013, 7, 2651–2658. [Google Scholar] [CrossRef]
  134. Griffiths, R.A.; Williams, A.; Oakland, C.; Roberts, J.; Vijayaraghavan, A.; Thomson, T. Directed self-assembly of block copolymers for use in bit patterned media fabrication. J. Phys. D Appl. Phys. 2013, 46, 503001. [Google Scholar] [CrossRef]
  135. You, B.K.; Park, W.I.; Kim, J.M.; Park, K.-I.; Seo, H.K.; Lee, J.Y.; Jung, Y.S.; Lee, K.J. Reliable Control of Filament Formation in Resistive Memories by Self-Assembled Nanoinsulators Derived from a Block Copolymer. ACS Nano 2014, 8, 9492–9502. [Google Scholar] [CrossRef] [PubMed]
  136. Stefik, M.; Guldin, S.; Vignolini, S.; Wiesner, U.; Steiner, U. Block copolymer self-assembly for nanophotonics. Chem. Soc. Rev. 2015, 44, 5076–5091. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  137. Jin, H.M.; Kim, J.Y.; Heo, M.; Jeong, S.-J.; Kim, B.H.; Cha, S.K.; Han, K.H.; Kim, J.H.; Yang, G.G.; Shin, J.; et al. Ultralarge Area Sub-10 nm Plasmonic Nanogap Array by Block Copolymer Self-Assembly for Reliable High-Sensitivity SERS. ACS Appl. Mater. Inter. 2018, 10, 44660–44667. [Google Scholar] [CrossRef] [PubMed]
  138. Rasappa, S.; Schulte, L.; Ndoni, S.; Niemi, T. Directed self-assembly of a high-chi block copolymer for the fabrication of optical nanoresonators. Nanoscale 2018, 10, 18306–18314. [Google Scholar] [CrossRef] [PubMed]
  139. Rasappa, S.; Borah, D.; Faulkner, C.C.; Lutz, T.; Shaw, M.T.; Holmes, J.D.; Morris, M.A. Fabrication of a sub-10 nm silicon nanowire based ethanol sensor using block copolymer lithography. Nanotechnology 2013, 24, 065503. [Google Scholar] [CrossRef] [PubMed]
  140. Kim, B.H.; Kim, J.Y.; Jeong, S.-J.; Hwang, J.O.; Lee, D.H.; Shin, D.O.; Choi, S.-Y.; Kim, S.O. Surface Energy Modification by Spin-Cast, Large-Area Graphene Film for Block Copolymer Lithography. ACS Nano 2010, 4, 5464–5470. [Google Scholar] [CrossRef] [PubMed]
  141. Kim, J.Y.; Kim, B.H.; Hwang, J.O.; Jeong, S.-J.; Shin, D.O.; Mun, J.H.; Choi, Y.J.; Jin, H.M.; Kim, S.O. Flexible and Transferrable Self-Assembled Nanopatterning on Chemically Modified Graphene. Adv. Mater. 2013, 25, 1331–1335. [Google Scholar] [CrossRef]
  142. Arias-Zapata, J.; Garnier, J.D.; Mehedi, H.; Legrain, A.; Salem, B.; Cunge, G.; Zelsmann, M. Engineering Self-Assembly of a High-χ Block Copolymer for Large-Area Fabrication of Transistors Based on Functional Graphene Nanoribbon Arrays. Chem. Mater. 2019, 31, 3154–3162. [Google Scholar] [CrossRef]
  143. Chang, T.-H.; Xiong, S.; Jacobberger, R.M.; Mikael, S.; Suh, H.S.; Liu, C.-C.; Geng, D.; Wang, X.; Arnold, M.S.; Ma, Z.; et al. Directed self-assembly of block copolymer films on atomically-thin graphene chemical patterns. Sci. Rep. 2016, 6, 31407. [Google Scholar] [CrossRef] [Green Version]
  144. Yang, S.Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S.K.; Kim, J.K. Virus Filtration Membranes Prepared from Nanoporous Block Copolymers with Good Dimensional Stability under High Pressures and Excellent Solvent Resistance. Adv. Funct. Mater. 2008, 18, 1371–1377. [Google Scholar] [CrossRef]
  145. Jackson, E.A.; Hillmyer, M.A. Nanoporous Membranes Derived from Block Copolymers: From Drug Delivery to Water Filtration. ACS Nano 2010, 4, 3548–3553. [Google Scholar] [CrossRef] [PubMed]
  146. Li, X.; Fustin, C.-A.; Lefèvre, N.; Gohy, J.-F.; Feyter, S.D.; Baerdemaeker, J.D.; Egger, W.; Vankelecom, I.F.J. Ordered nanoporous membranes based on diblock copolymers with high chemical stability and tunable separation properties. J. Mater. Chem. 2010, 20, 4333–4339. [Google Scholar] [CrossRef]
  147. Phillip, W.A.; Dorin, R.M.; Werner, J.; Hoek, E.M.V.; Wiesner, U.; Elimelech, M. Tuning structure and properties of graded triblock terpolymer-based mesoporous and hybrid films. Nano Lett. 2011, 11, 2892–2900. [Google Scholar] [CrossRef] [PubMed]
  148. Lundy, R.; Flynn, S.P.; Cummins, C.; Kelleher, S.M.; Collins, M.N.; Dalton, E.; Daniels, S.; Morris, M.; Enright, R. Nanoporous membrane production via block copolymer lithography for high heat dissipation systems. In Proceedings of the 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, Las Vegas, NV, USA, 31 May–3 June 2016; pp. 1267–1272. [Google Scholar]
  149. Shen, L.; He, C.; Qiu, J.; Lee, S.-M.; Kalita, A.; Cronin, S.B.; Stoykovich, M.P.; Yoon, J. Nanostructured Silicon Photocathodes for Solar Water Splitting Patterned by the Self-Assembly of Lamellar Block Copolymers. ACS Appl. Mater. Interfaces 2015, 7, 26043–26049. [Google Scholar] [CrossRef]
  150. Adak, D.; Ghosh, S.; Chakraborty, P.; Srivatsa, K.M.K.; Mondal, A.; Saha, H.; Mukherjee, R.; Bhattacharyya, R. Non lithographic block copolymer directed self-assembled and plasma treated self-cleaning transparent coating for photovoltaic modules and other solar energy devices. Sol. Energy Mater. Sol. Cells 2018, 188, 127–139. [Google Scholar] [CrossRef]
  151. Werner, J.G.; Rodríguez-Calero, G.G.; Abruña, H.D.; Wiesner, U. Block copolymer derived 3-D interpenetrating multifunctional gyroidal nanohybrids for electrical energy storage. Energy Environ. Sci. 2018, 11, 1261–1270. [Google Scholar] [CrossRef]
  152. Zou, Y.; Zhou, X.; Ma, J.; Yang, X.; Deng, Y. Recent advances in amphiphilic block copolymer templated mesoporous metal-based materials: Assembly engineering and applications. Chem. Soc. Rev. 2020, 49, 1173–1208. [Google Scholar] [CrossRef]
  153. Cummins, C.; Lundy, R.; Walsh, J.J.; Ponsinet, V.; Fleury, G.; Morris, M.A. Enabling future nanomanufacturing through block copolymer self-assembly: A review. Nano Today 2020, 35, 100936. [Google Scholar] [CrossRef]
  154. Tritschler, U.; Pearce, S.; Gwyther, J.; Whittell, G.R.; Manners, I. 50th Anniversary Perspective: Functional Nanoparticles from the Solution Self-Assembly of Block Copolymers. Macromolecules 2017, 50, 3439–3463. [Google Scholar] [CrossRef] [Green Version]
  155. Checco, A.; Rahman, A.; Black, C.T. Robust Superhydrophobicity in Large-Area Nanostructured Surfaces Defined by Block-Copolymer Self Assembly. Adv. Mater. 2014, 26, 886–891. [Google Scholar] [CrossRef] [PubMed]
  156. Rahman, A.; Ashraf, A.; Xin, H.; Tong, X.; Sutter, P.; Eisaman, M.D.; Black, C.T. Sub-50-nm self-assembled nanotextures for enhanced broadband antireflection in silicon solar cells. Nat. Commun. 2015, 6, 1–6. [Google Scholar] [CrossRef] [Green Version]
  157. Di Mauro, A.E.; Villone, V.; Ingrosso, C.; Corricelli, M.; Oria, L.; Pérez-Murano, F.; Agostiano, A.; Striccoli, M.; Curri, M.L. H-bonding driven assembly of colloidal Au nanoparticles on nanostructured poly(styrene-b-ethylene oxide) block copolymer templates. J. Mater. Sci. 2014, 49, 5246–5255. [Google Scholar] [CrossRef]
  158. Ekinci, K.L.; Roukes, M.L. Nanoelectromechanical systems. Rev. Sci. Instrum. 2005, 76, 061101. [Google Scholar] [CrossRef] [Green Version]
  159. Ekinci, K.L.; Huang, X.M.H.; Roukes, M.L. Ultrasensitive nanoelectromechanical mass detection. Appl. Phys. Lett. 2004, 84, 4469–4471. [Google Scholar] [CrossRef] [Green Version]
  160. Ekinci, K.L.; Yang, Y.T.; Roukes, M.L. Ultimate limits to inertial mass sensing based upon nanoelectromechanical systems. J. Appl. Phys. 2004, 95, 2682–2689. [Google Scholar] [CrossRef] [Green Version]
  161. Gil-Santos, E.; Ramos, D.; Martínez, J.; Fernández-Regúlez, M.; García, R.; San Paulo, Á.; Calleja, M.; Tamayo, J. Nanomechanical mass sensing and stiffness spectrometry based on two-dimensional vibrations of resonant nanowires. Nat. Nanotechnol. 2010, 5, 641–645. [Google Scholar] [CrossRef] [Green Version]
  162. Eom, K.; Park, H.S.; Yoon, D.S.; Kwon, T. Nanomechanical resonators and their applications in biological/chemical detection: Nanomechanics principles. Phys. Rep. 2011, 503, 115–163. [Google Scholar] [CrossRef] [Green Version]
  163. Fernandez-Regulez, M.; Sansa, M.; Serra-Garcia, M.; Gil-Santos, E.; Tamayo, J.; Perez-Murano, F.; Paulo, A.S. Horizontally patterned Si nanowire growth for nanomechanical devices. Nanotechnology 2013, 24, 095303. [Google Scholar] [CrossRef] [Green Version]
  164. Sansa, M.; Fernández-Regúlez, M.; Llobet, J.; San Paulo, Á.; Pérez-Murano, F. High-sensitivity linear piezoresistive transduction for nanomechanical beam resonators. Nat. Commun. 2014, 5, 1–9. [Google Scholar] [CrossRef] [Green Version]
  165. Dasgupta, N.P.; Sun, J.; Liu, C.; Brittman, S.; Andrews, S.C.; Lim, J.; Gao, H.; Yan, R.; Yang, P. 25th Anniversary Article: Semiconductor Nanowires – Synthesis, Characterization, and Applications. Adv. Mater. 2014, 26, 2137–2184. [Google Scholar] [CrossRef]
  166. Arcamone, J.; Rius, G.; Abadal, G.; Teva, J.; Barniol, N.; Pérez-Murano, F. Micro/nanomechanical resonators for distributed mass sensing with capacitive detection. Microelectron. Eng. 2006, 83, 1216–1220. [Google Scholar] [CrossRef]
  167. Schmid, S.; Villanueva, L.G.; Roukes, M.L. Fundamentals of Nanomechanical Resonators; Springer International Publishing: Basel, Switzerland, 2016; ISBN 978-3-319-28689-1. [Google Scholar]
  168. Mohammad, M.A.; Dew, S.K.; Evoy, S.; Stepanova, M. Fabrication of sub-10nm silicon carbon nitride resonators using a hydrogen silsesquioxane mask patterned by electron beam lithography. Microelectron. Eng. 2011, 88, 2338–2341. [Google Scholar] [CrossRef]
  169. Ryu, D.Y.; Shin, K.; Drockenmuller, E.; Hawker, C.J.; Russell, T.P. A Generalized Approach to the Modification of Solid Surfaces. Science 2005, 308, 236–239. [Google Scholar] [CrossRef] [PubMed]
  170. Han, E.; Stuen, K.O.; La, Y.-H.; Nealey, P.F.; Gopalan, P. Effect of Composition of Substrate-Modifying Random Copolymers on the Orientation of Symmetric and Asymmetric Diblock Copolymer Domains. Macromolecules 2008, 41, 9090–9097. [Google Scholar] [CrossRef]
  171. Boufnichel, M.; Aachboun, S.; Lefaucheux, P.; Ranson, P. Profile control of high aspect ratio trenches of silicon. II. Study of the mechanisms responsible for local bowing formation and elimination of this effect. J. Vac. Sci. Technol. B 2003, 21, 267–273. [Google Scholar] [CrossRef]
  172. Lee, J.-K.; Jang, I.-Y.; Lee, S.-H.; Kim, C.-K.; Moon, S.H. Mechanism of Sidewall Necking and Bowing in the Plasma Etching of High Aspect-Ratio Contact Holes. J. Electrochem. Soc. 2010, 157, D142. [Google Scholar] [CrossRef]
  173. Borah, D.; Shaw, M.T.; Rasappa, S.; Farrell, R.A.; O’Mahony, C.; Faulkner, C.M.; Bosea, M.; Gleeson, P.; Holmes, J.D.; Morris, M.A. Plasma etch technologies for the development of ultra-small feature size transistor devices. J. Phys. D Appl. Phys. 2011, 44, 174012. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Schematic of the self-assembly of lamellar PS-b-PMMA. (a) If the substrate shows preferential interaction with PS or PMMA, self-assembly in horizontal lamellae guarantees minimal free energy at the interface; (b) a random copolymer brush (PS-r-PMMA) can be used to impel neutral affinity to the substrate, coercing the generation of vertical PS and PMMA lamellae.
Figure 1. Schematic of the self-assembly of lamellar PS-b-PMMA. (a) If the substrate shows preferential interaction with PS or PMMA, self-assembly in horizontal lamellae guarantees minimal free energy at the interface; (b) a random copolymer brush (PS-r-PMMA) can be used to impel neutral affinity to the substrate, coercing the generation of vertical PS and PMMA lamellae.
Polymers 12 02432 g001
Figure 2. Schematic of DSA by chemo- and graphoepitaxy. (a) In chemoepitaxy, areas of the substrate are chemically activated to show stronger affinity to one of the blocks, directing the self-assembly; (b) in graphoepitaxy, the substrate is topographically structured to direct the self-assembly.
Figure 2. Schematic of DSA by chemo- and graphoepitaxy. (a) In chemoepitaxy, areas of the substrate are chemically activated to show stronger affinity to one of the blocks, directing the self-assembly; (b) in graphoepitaxy, the substrate is topographically structured to direct the self-assembly.
Polymers 12 02432 g002
Figure 3. Main steps of the process flow developed for the fabrication of suspended SiNWs and membranes. (a) Silicon oxide GPs are created by EBL; (b) graphoepitaxy of PS-b-PMMA is performed in such a way that lamellae become perpendicular to walls and bottom of the trenches; (c) PMMA is selectively removed by dry etching; (d) remaining PS and SiO2 are used as mask to define SiNWs and silicon membranes, respectively; (e) structures are released from the BOX.
Figure 3. Main steps of the process flow developed for the fabrication of suspended SiNWs and membranes. (a) Silicon oxide GPs are created by EBL; (b) graphoepitaxy of PS-b-PMMA is performed in such a way that lamellae become perpendicular to walls and bottom of the trenches; (c) PMMA is selectively removed by dry etching; (d) remaining PS and SiO2 are used as mask to define SiNWs and silicon membranes, respectively; (e) structures are released from the BOX.
Polymers 12 02432 g003
Figure 4. (a) Scanning electron microscopy (SEM) top-view micrographs of HSQ GPs after development; (b) SEM top-view micrographs after graphoepitaxy within GPs. Parallel PS-b-PMMA lamellae (28 nm pitch) can be observed perpendicular to the walls and bottom of the trenches; (c) SEM top-view micrograph of a 500 nm trench after graphoepitaxy of PS-b-PMMA (28 nm pitch).
Figure 4. (a) Scanning electron microscopy (SEM) top-view micrographs of HSQ GPs after development; (b) SEM top-view micrographs after graphoepitaxy within GPs. Parallel PS-b-PMMA lamellae (28 nm pitch) can be observed perpendicular to the walls and bottom of the trenches; (c) SEM top-view micrograph of a 500 nm trench after graphoepitaxy of PS-b-PMMA (28 nm pitch).
Polymers 12 02432 g004
Figure 5. Graphoepitaxy of lamellar PS-b-PMMA within silicon oxide trenches. (a) If the bottom of the trench is neutral, but walls are affine to one block, vertical lamellae self-assemble parallel to the walls; (b) if walls and bottom are neutral, vertical lamellae self-assemble perpendicular to the three surfaces.
Figure 5. Graphoepitaxy of lamellar PS-b-PMMA within silicon oxide trenches. (a) If the bottom of the trench is neutral, but walls are affine to one block, vertical lamellae self-assemble parallel to the walls; (b) if walls and bottom are neutral, vertical lamellae self-assemble perpendicular to the three surfaces.
Polymers 12 02432 g005
Figure 6. (a) SEM top-view micrograph of SiNWs obtained after pattern transfer of PS-b-PMMA; (b) zoomed-in micrograph.
Figure 6. (a) SEM top-view micrograph of SiNWs obtained after pattern transfer of PS-b-PMMA; (b) zoomed-in micrograph.
Polymers 12 02432 g006
Figure 7. TEM micrograph of a lamella across several transferred SiNWs (before release). C serves as protective layer. SiNW height is determined by the thickness of the device layer of the SOI substrate.
Figure 7. TEM micrograph of a lamella across several transferred SiNWs (before release). C serves as protective layer. SiNW height is determined by the thickness of the device layer of the SOI substrate.
Polymers 12 02432 g007
Figure 8. SEM micrographs of two of the multiple different silicon membranes obtained, suspended by high-density arrays of SiNWs.
Figure 8. SEM micrographs of two of the multiple different silicon membranes obtained, suspended by high-density arrays of SiNWs.
Polymers 12 02432 g008
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Pinto-Gómez, C.; Pérez-Murano, F.; Bausells, J.; Villanueva, L.G.; Fernández-Regúlez, M. Directed Self-Assembly of Block Copolymers for the Fabrication of Functional Devices. Polymers 2020, 12, 2432. https://doi.org/10.3390/polym12102432

AMA Style

Pinto-Gómez C, Pérez-Murano F, Bausells J, Villanueva LG, Fernández-Regúlez M. Directed Self-Assembly of Block Copolymers for the Fabrication of Functional Devices. Polymers. 2020; 12(10):2432. https://doi.org/10.3390/polym12102432

Chicago/Turabian Style

Pinto-Gómez, Christian, Francesc Pérez-Murano, Joan Bausells, Luis Guillermo Villanueva, and Marta Fernández-Regúlez. 2020. "Directed Self-Assembly of Block Copolymers for the Fabrication of Functional Devices" Polymers 12, no. 10: 2432. https://doi.org/10.3390/polym12102432

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop