Next Article in Journal
Enabling Efficient Communications with Resource Constrained Information Endpoints in Smart Homes
Previous Article in Journal
A Neuroevolutionary Approach to Controlling Traffic Signals Based on Data from Sensor Network
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A 0.0014 mm2 150 nW CMOS Temperature Sensor with Nonlinearity Characterization and Calibration for the −60 to +40 °C Measurement Range

Institute of Microelectronics, Tsinghua University, Beijing 100084, China
*
Author to whom correspondence should be addressed.
Sensors 2019, 19(8), 1777; https://doi.org/10.3390/s19081777
Submission received: 18 March 2019 / Revised: 11 April 2019 / Accepted: 11 April 2019 / Published: 13 April 2019
(This article belongs to the Section Physical Sensors)

Abstract

:
This work presents a complementary metal–oxide–semiconductor (CMOS) ultra-low power temperature sensor chip for cold chain applications with temperatures down to −60 °C. The sensor chip is composed of a temperature-to-current converter to generate a current proportional to the absolute temperature (PTAT), a current controlled oscillator to convert the current to a frequency signal, and a counter as the frequency-to-digital converter. Unlike the conventional linear error calibration method, the nonlinear error of the PTAT current under the low temperature range is fully characterized based on the device model files provided by the foundry. Simulation has been performed, which clearly shows the nonlinear model is much more accurate than the linear model. A nonlinear error calibration method, which requires only two-point calibration, is then proposed. The temperature sensor chip has been designed and fabricated in a 0.13 μm CMOS process, with a total active die area of 0.0014 mm2. The sensor only draws a 140 nA current from a 1.1 V supply, with the key transistors working in the deep subthreshold region. Measurement results show that the proposed nonlinear calibration can decrease the measurement error from −0.9 to +1.1 °C for the measurement range of −60 to +40 °C, in comparison with the error of −1.8 to +5.3 °C using the conventional linear error calibration.

1. Introduction

Temperature monitoring is mandatory in cold chain applications for the production, storage, distribution, and transportation of perishable, but life-critical products, such as foods, blood products, and vaccines [1,2,3,4]. The temperature range for the food cold chain is commonly from −20 to +15 °C [1,2]. For blood products, such as fresh frozen plasma, the cold chain needs to maintain a low temperature under −25 °C. Temperature control for the vaccine cold chain is even more stringent [3], and some special vaccines, such as the anti-Ebola vaccine, may need a low temperature of −60 °C [4].
The fundamental requirement of temperature monitoring in cold chains is a high accuracy. Low power consumption is another key requirement, since in many cold chain applications, the temperature monitoring function is incorporated into the extremely power-constrained wireless telemetry circuit powered by a miniature battery or a radio frequency identification (RFID) tag based on wireless power transfer [5]. In addition, cold chain applications need temperature monitoring for every truck and even every small product package, and such applications are usually cost sensitive [5,6,7,8]. In general, there is a great need to investigate low-cost, low-power, wide-range, and high-accuracy temperature sensors for cold chain applications [9]. The target of this work is to develop a technology to design such a temperature sensor using the complementary metal–oxide–semiconductor (CMOS) technology.
There are many physical devices, such as a bipolar junction transistor (BJT) and CMOS transistor, that can be used to build monolithic temperature sensors, since these devices have temperature dependent properties that can indicate the environment temperature [10]. Temperature sensors based on the BJT have the advantages of a high accuracy and fast conversion speed, but BJT-based sensors usually consume high power and occupy large chip areas. For example, the BJT-based sensor in [11] occupies an area of 0.16 mm2 and consumes 6.9 μW power, which can barely be provided by an RFID tag. The BJT-based sensor requires 1.5 V or higher power supply to work at low temperatures, since the base-emitter voltage, VBE, rises to about 0.8 V at −55 °C [12]. Due to the high voltage supply requirement, relatively large power consumption, and large chip area, the BJT-based sensor is not competitive for low-power and low-cost cold chain applications.
Monolithic temperature sensors based on the temperature dependence of the CMOS transistor model parameters have the advantages of a low power and small chip area [10], especially emerging time-to-digital converter based CMOS sensors [13,14,15,16,17]. For example, the time-to-digital converter was used to quantize the temperature dependent delay of an inverter-chain in [13], and the sensor only consumed 0.28 μW power with a chip area of 0.022 mm2. The ring oscillator based sensor in [15] has an even smaller power consumption of 0.2 μW with a chip area of 0.004 mm2. However, time-to-digital converter based sensors have a limited measurement range, and the lowest measurable temperature of the sensors in [13,14,15,16,17] is −40 °C, which cannot fulfill the cold chain application requirements.
Conventional proportional-to-absolute-temperature (PTAT) current based CMOS temperature sensors [18,19] can extend the temperature measurement range to the lower end. For example, the PTAT current based sensor in [18] can measure the temperature down to −50 °C with 0.6 μW of power consumption and a chip area of 0.085 mm2.
Conventional PTAT based CMOS temperature sensors usually utilize one-point or two-point calibration to compensate for linear errors. However, our recent investigation reveals that it is not enough to just calibrate linear errors, and nonlinear errors will be relatively large when the measurement range of the PTAT based CMOS temperature sensor is extended down to the low temperature end, i.e., −60 °C, for cold chain applications.
In this paper, we present a CMOS ultra-low power temperature sensor for cold chain applications in temperatures down to −60 °C. The nonlinear error for the low temperature sensing will be characterized, and a two-point calibration method which can calibrate the nonlinear error will be presented.
The remaining part of this paper is organized as follows. The circuit architecture of the presented CMOS temperature sensor is described in Section 2. The nonlinear error for low temperature measurement is characterized in Section 3. The calibration method is given in Section 4. The measurement results on the fabricated sensor chip are given in Section 5, followed by the conclusion in Section 6.

2. Temperature Sensor Circuit Architecture

The block diagram of the presented temperature sensor is shown in Figure 1. It includes three main functional blocks. The temperature-to-current converter generates a temperature-dependent current. Ideally, the output current is a PTAT current. A current controlled oscillator then converts the current to a frequency signal. The oscillation frequency is proportional to the PTAT current, and thus proportional to the absolute temperature. A counter serves as the frequency to digital converter, which digitizes the oscillator output frequency. In this work, the designed sensor is one function part of a system-on-a-chip (SoC), and it is powered by an on-chip 1.1 V low-dropout (LDO) regulator in the SoC or an external power supply. The design is optimized for the 1.1 V power supply.
The temperature-to-current converter is the key of this sensor. Figure 2 shows the circuit implementation of the temperature-to-current converter. M1 and M2 are working in the subthreshold region. The drain-source currents of M1 and M2 have the following relationship [20,21]:
I M 1 = μ C o x ( W L ) 1 V T 2 exp ( V 2 V 1 V t h 1 n V T )
I M 2 = μ C o x ( W L ) 2 V T 2 exp ( V 2 V t h 2 n V T )
where μ is the mobility, Cox is the oxide capacitance, W/L is the transistor aspect ratio, Vth1 and Vth2 are the threshold voltages of M1 and M2, respectively, and n is the subthreshold gate coupling coefficient. VT = k T/q, in which k is the Boltzmann constant, T is the absolute temperature, and q is the electron charge. As shown in Figure 2, V1 is the voltage across the poly resistor, R0, and V2 is the gate voltage of both M1 and M2. In this design, the aspect ratio of M1 is 2 times that of M2.
The current mirror formed by M3 and M4 is carefully matched. Ideally, M1 and M2 have the same current, which is denoted as I0:
I 0 = I M 1 = I M 2
Substituting (1) and (2) into (3) leads to:
V 1 + ( V t h 1 V t h 2 ) = n V T l n 2
If Vth1 = Vth2, then:
V 1 = n k T q ln 2
If the resistance of R0 is constant, then the drain-source current of M1 is given by:
I 0 = V 1 R = n k ln 2 q R 0 T
Ideally, I0 is a PTAT current [22,23,24], which is the basis of this type of temperature sensor [22]. A similar PTAT implementation in [24] showed the measured PTAT behavior.

3. Nonlinearity Characterization for a Wide Measurement Range

The validation of approximating I0 to a PTAT current relies on the assumptions that M1 and M2 have the same threshold voltages, and the resistance of R0 is not temperature dependent. These assumptions are approximately true for a modest temperature range [24]. However, when extending the measurement range to very low temperature, i.e., −60 °C, such an approximation actually introduces quite large nonlinearity. In this section, the nonlinearity of the temperature-to-current converter for a wide temperature range will be characterized.
Firstly, the transistor threshold voltage is temperature dependent. Based on the BSIM model [25,26,27,28], the threshold voltage of a MOS transistor is written as:
V t h ( T ) = V t h ( T 0 ) + ( K T 1 + K t l 1 L e f f ) ( T T 0 1 ) + K T 2 V b s e f f ( T T 0 1 )
where KT1, Ktl1, and KT2 are process-dependent parameters, Leff is the effective channel length, and Vbseff is the body-source voltage. Note that M1 and M2 have different source voltages, and the body-source voltage difference is just −V1. Taking the body effect into consideration [25,26,29,30], there exists a small difference between the threshold voltages of M1 and M2, which is given as:
Δ V t h ( T ) = K T 2 Δ V b s e f f ( T T 0 1 ) = V 1 K T 2 T 0 ( T T 0 )
Substituting (8) into (4) leads to:
V 1 = n k l n 2 q T 1 K T 2 T 0 ( T T 0 ) = n k l n 2 q T 1 + K T 2 ( T T 0 )
in which KT2′ = −KT2/T0.
On the other hand, the temperature dependent resistance, R0 (T), at the temperature, T, is given by:
R 0 ( T ) = R 0 [ 1 + T C 1 ( T T 0 ) + T C 2 ( T T 0 ) 2 ]
where R0 is the resistance at the reference temperature, T0, and TC1 and TC2 are the temperature coefficients. Based on the foundry design kit (FDK), TC1 is on the order of 10−5, and TC2 is on the order of 10−7. The current, I0, through R0 is derived as:
I 0 = V 1 R 0 ( T ) = n k l n 2 q R 0 T 1 + ( K T 2 + T C 1 ) ( T T 0 ) + ( T C 2 + K T 2 T C 1 ) ( T T 0 ) 2 + K T 2 T C 2 ( T T 0 ) 3
In the concerned temperature range of −60 to +40 °C, (KT2′ + TC1) · (TT0) is much larger than (TC2 + KT2′ · TC1) · (TT0)2 and KT2′ · TC2 · (TT0)3. Equation (11) can be simplified by ignoring the high order terms in the denominator:
I 0 n k l n 2 q R 0 T 1 + K T C ( T T 0 )
in which KTC = KT2′ + TC1 is a process dependent constant.
The calculation based on the CMOS process technology file provided by the foundry indicates that KTC = 7.10 × 10−5 if T0 = 300 K. In the coefficient, KTC, the contribution of KT2′ due to the transistor threshold voltage temperature dependence and that of TC1 due to the resistor temperature dependence is about 70% and 30%, respectively.
It can be concluded that with the temperature dependence of the transistor threshold voltage and the resistance temperature dependence, the drain-source current of M1 cannot simply be treated as a PTAT current. Equation (12) indicates that the current-temperature curve has a hyperbolic shape. Though the FDK available only provides device models down to −40 °C, Equation (12) works for much lower temperatures since the BSIM model is valid for quite a wide temperature range [25].
Equation (12) also indicates that if the measurement range is small, then the measured temperature is close to the reference temperature, T0; KTC · (TT0) is small; and the denominator, 1 + KTC · (TT0), degenerates to 1. In that case, Equation (12) degenerates to a linear relationship between I0 and T, resulting in a PTAT current as expected. However, for the large measurement range targeted in this work, KTC · (TT0) is not negligible, and the linear approximation will introduce quite large error, which requires calibration.
Figure 3 shows the simulated current, I0, for the temperature range of −40 °C to 0 °C (the lower simulation boundary is limited by the FDK), in contrast to the conventional linear approximation and the hyperbolic prediction using (12). The black line is the simulated current, while the blue dashed line is a straight line by connecting two points (−20 °C and 0 °C) on the black line, and the red dashed line is the hyperbolic fitting of these two points. The difference between the simulated current and the straight line reaches 0.07 nA for the temperature of −40 °C, which means that the measurement error will be about 1.2 °C for the −40 °C point with conventional linear approximation. In contrast, the difference between the simulated current and the nonlinear prediction is only 0.02 nA at −40 °C, which corresponds to only a 0.34 °C measurement error. Obviously, the simulated current, I0, is closer to the hyperbolic line as predicted by (12) rather than the straight line. It can also be roughly calculated from Figure 3 that the measurement error using conventional linear approximation will reach 2.8 °C when the temperature is down to −60 °C.

4. Digitization and Calibration

The current controlled oscillator and the frequency-to-digital converter (counter) in Figure 1 are used to digitize the temperature dependent current, I0. As shown in Figure 4, the current controlled oscillator is implemented as a relaxation oscillator, taking advantage of the small area and low power consumption [31]. In the oscillator, the current, I0, is duplicated and amplified by p times to serve as the charging current. To ensure a linear relationship between the oscillation frequency and the charging current, p · I0, all the unwanted delays in the oscillator feedback loop are carefully optimized and reduced. An edge-to-pulse generator is inserted in the loop to shortly turn on the switch transistor, M5, and reset the sawtooth waveform at the charge/discharge node periodically.
Ideally, the oscillator output clock cycle period, τ, is calculated as:
τ = C 0 V r e f p I 0
where C0 is the timing capacitor at the charge/discharge node, Vref defines the sawtooth waveform magnitude, and p is the ratio of the current mirror. Considering the extra delay in the feedback loop, which is independent of the charging current, such as the delay caused by the comparators, the logic gates, and the discharging switch, M5, an offset component denoted as τos should be added to the clock cycle period, τ :
τ = C 0 V r e f p I 0 + τ o s
In this work, the nominal value of the charging time, C 0 V r e f p I 0 , is about 0.2 ms. Simulation shows that the extra delay contributed by the comparator, the logic gate, and the discharging switch is about 3.5 μs, 30 ns, and 3.5 ns, respectively. In general, τos is much smaller than C 0 V r e f p I 0 , and it follows that τ o s C 0 V r e f p I 0 1 . To make the following derivation simple, Equation (14) is re-arranged and approximated as:
τ = C 0 V r e f p I 0 ( 1 + τ o s C 0 V r e f p I 0 ) = C 0 V r e f ( 1 ( τ o s C 0 V r e f p I 0 ) 2 ) p I 0 ( 1 τ o s C 0 V r e f p I 0 ) C 0 V r e f p I 0 ( 1 τ o s C 0 V r e f p I 0 )
Let I OS = p I 0 τ o s C 0 V r e f p I 0 , and then Equation (15) is simplified to:
τ C 0 V r e f p I 0 I OS
In this design, the oscillator output clock is divided by m times, and then the number of oscillation cycles within a given measurement time, τcnt, is counted by the frequency-to-digital converter (counter) in Figure 1. The length of τ c n t is controlled by a reference clock. The nominal value of τ c n t is 1000 ms, and m = 4. The counter output number, Dout, is given as:
D o u t = τ c n t m τ
Combining Equations (16) and (17) yields:
D o u t = τ c n t p m C 0 V r e f I 0 τ c n t I OS m C 0 V r e f
Substituting Equation (12) into Equation (18) gives:
D o u t = p n k τ c n t l n 2 m q R 0 C 0 V r e f T 1 + K T C ( T T 0 ) τ c n t I OS m C 0 V r e f
It is not necessary to know the value of each individual parameters in Equation (19). Let:
k = p n k τ c n t l n 2 m q R 0 C 0 V r e f
b = τ c n t I OS m C 0 V r e f
Additionally, Equation (19) can be simplified as:
D o u t = k T 1 + K T C ( T T 0 ) + b
T′ is defined as:
T = T 1 + K T C ( T T 0 )
Then, Equation (22) can be written as:
D o u t = k T + b
It is anticipated that the values of k and b in Equation (24) vary randomly chip by chip with the random process variation, and other random effects, such as the reference clock period error. On the other hand, it can be seen from Section 3 that the value of the coefficient, K T C , in Equation (23) can be viewed as deterministic for all the chips fabricated using a given process.
To find the actual temperature value, T, from the counter reading, Dout, the following calibration procedure is applied to compensate for both the random linear errors in k and b, and the deterministic nonlinear error as analyzed in Section 3. A two-point calibration is used to calibrate the linear errors. That is to say, each temperature sensor chip needs to be measured at two exactly-known temperature points, T1 and T2.
Step 1. For the specific chip, under the first given temperature settling (20 °C in this work), use a high performance sensor to measure the temperature, T1, and record the counter reading, Dout1, within a given measurement time;
Step 2. Under the second given temperature setting (−30 °C in this work), use a high performance sensor to measure the temperature, T2, and record the counter reading, Dout2;
Step 3. Use Equation (23) to calculate T 1 = T 1 1 + K TC ( T 1 T 0 ) and T 2 = T 2 1 + K TC ( T 2 T 0 ) . Note that KTC = 7.10 × 10−5 with T0 = 300 K;
Step 4. Use the linear Equation (24) to calculate the values of k and b with (T1′, Dout1) and (T2, Dout2) from Step 1 to 3;
Step 5. For each output value, Dout, given by this sensor, use Equation (22) to calculate the actual temperature, T, with the obtained values of k and b from Step 4, and the values of KTC and T0 from Section 3.
The proposed temperature sensor has been designed as a part of a wireless sensing SoC, and the calculation in Steps 3 to 5 can be implemented by programming the embedded microcontroller (MCU) in the SoC. For those application scenarios without the MCU, the calibration function can be easily implemented using a small digital logic circuit.

5. Measurement Results

The proposed temperature sensor chip was designed and fabricated as a function part of a SoC in a 0.13 μm CMOS process, and the die microphotograph of the SoC is shown in Figure 5a. Note that the temperature to current converter and the bias/reference generation circuit are shared by this temperature sensor and some of the other function parts in the SoC, and they are located apart from the current controlled oscillator and the frequency-to-digital converter, as shown in the sensor circuit layout given in Figure 5b. The total active area of the reported temperature sensor, including all the function blocks shown in Figure 1, is 0.0014 mm2.
For the test purpose, the SoC was packaged in a 64-pin quad flat package (QFP-64). A photo of the decapped QFP-64 package with the SoC die in it is given in Figure 6.
The measured typical power consumption of the presented sensor is 0.15 μW (including all the function blocks shown in Figure 1), with a 1.1 V power supply at room temperature. The simulated power consumption breakdown is shown in Figure 7.
Four chips randomly selected from the same lot were measured to validate the presented nonlinearity characterization and calibration. The sampling rate in the measurement is 1 sample per second, with an external reference clock of 1 Hz generated by a crystal oscillator with less than 25 ppm temperature drift over the measured range of −60 °C to 40 °C. Actually, the reference clock frequency does not need to be quite this precise, since this frequency error will be cancelled out during the calibration.
The measurement was carried out by placing the temperature sensor printed circuit board (PCB) board inside a temperature and humidity chamber (model ASR-0220 manufactured by ESPEC). A T2000 handheld thermometer manufactured by Xiatech Electronics with ±0.1 °C inaccuracy was used to measure and set the chamber temperature. Figure 8 shows the PCB used to test the sensor, and the measurement environment (the chamber).
The measured temperature sensor outputs for the temperature range of −60 to 40 °C before the cycle-number-to-temperature conversion and calibration are shown in Figure 9. For the 100 °C range, the sensor digital output, D o u t , has a maximum difference of about 200, which indicates a measurement resolution of 0.5 °C. Clearly shown in Figure 9, there exists random linear errors (the slope error and the offset error). Though not quite visible, further calculation shows that there also exists hyperbolic nonlinear error as predicted in Section 3.
All the measured chips were then calibrated with the data acquired at the temperature points of T1 = 20 °C and T2 = −30 °C. After obtaining the calibration parameters (k and b), the temperature range of −60 °C to 40 °C with a step of 10 °C was measured for each chip.
Figure 10 shows the temperature measurement error after the conventional linear error calibration. Since the nonlinear error is not taken care of, the maximum measurement error reaches 5.3 °C (the full range error is −1.8 °C to +5.3 °C).
Figure 11 shows the temperature measurement error after the proposed nonlinear error calibration using the steps given in Section 4. The maximum measurement error decreases to only 1.1 °C (the full range error is −0.9 °C to +1.1 °C).
Note that the sensor design was optimized for the 1.1 V power supply. The measurement results shows that when the power supply deviates from the nominal 1.1 V by ±0.1 V, the oscillator output frequency may vary by ±0.4%, which corresponds to a temperature measurement error of about 1.2 °C. The presented sensor accuracy is sensitive to the power supply variation, and it needs to be used with the fixed power supply of 1.1 V for the best performance.
The performance of the presented temperature sensor chip is summarized and compared to other state-of-the-art designs in Table 1. Compared to other designs, the presented chip shows the lowest measurement temperature with the smallest chip area and the lowest power consumption, by using the proposed nonlinear error calibration. However, the power consumption reduction is actually at the cost of a narrowed measurement range at the high temperature end, which is clearly shown in Table 1. Note that the charging PTAT current was set quite small (~10 nA) to reduce the chip power consumption. On the other hand, the leakage current of the discharging switch transistor M5 approaches the charging current at high temperature. Consequently, the presented sensor design will fail at high temperatures.

6. Conclusions

In this paper, a CMOS temperature sensor was presented for temperature monitoring down to −60 °C in cold chain applications. The nonlinear error in the conventional PTAT current based sensor circuit was characterized for the first time, and a two-point calibration method was proposed to compensate for the nonlinear error in addition to the traditional linear error calibration. With the proposed nonlinear calibration, the measurement error decreased to −0.9 to +1.1 °C for the temperature range of −60 to +40 °C. The temperature sensor chip occupied a die area of 0.0014 mm2, and the typical power consumption was only 0.15 μW from a 1.1 V power supply, which outperforms similar designs in the literature.

Author Contributions

Conceptualization, Z.W.; Funding acquisition, H.J.; Project administration, Z.W.; Validation, W.Y. and H.J.; Writing – original draft, W.Y.; Writing–review & editing, H.J.

Funding

This research was funded, in partial, by National Natural Science Foundation of China under contract number 61661166010, Suzhou-Tsinghua Innovation Leadership Program under contract number 2016SZ0214, National Key R&D Program of China under contract number 2016YFC0105603, and Beijing Engineering Research Center No. BG0149.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. FAO. Food Wastage Footprint: Impacts on Natural Resources; FAO: Rome, Italy, 2013. [Google Scholar]
  2. Ndraha, N.; Hsiao, H.I.; Vlajic, J.; Yang, M.F.; Lin, H.T.V. Time-temperature abuse in the food cold chain: Review of issues, challenges, and recommendations. Food Control 2018, 89, 12–21. [Google Scholar] [CrossRef]
  3. Cao, L.; Zheng, J.; Cao, L.; Cui, J.; Xiao, Q. Evaluation of the Impact of Shandong Illegal Vaccine Sales Incident on Immunizations in China. Hum. Vaccines Immunother. 2018, 14, 1672–1678. [Google Scholar] [CrossRef] [PubMed]
  4. Jusu, M.O.; Geoffrey, G.; Seward, J.F.; Bawoh, M.; Tempel, J.; Friend, M.; Littlefield, D.; Lahai, M.; Jalloh, H.M.; Sesay, A.B.; et al. Rapid Establishment of a Cold Chain Capacity of −60° C or Colder for the STRIVE Ebola Vaccine Trial During the Ebola Outbreak in Sierra Leone. J. Infect. Dis. 2018, 217, S48–S55. [Google Scholar] [CrossRef] [PubMed]
  5. Óskarsdóttir, K.; Oddsson, G.V. Towards a decision support framework for technologies used in cold supply chain traceability. J. Food Eng. 2019, 240, 153–159. [Google Scholar] [CrossRef]
  6. Ruiz-Garcia, L.; Lunadei, L.; Barreiro, P.; Robla, I. A review of wireless sensor technologies and applications in agriculture and food industry: State of the art and current trends. Sensors 2009, 9, 4728–4750. [Google Scholar] [CrossRef] [PubMed]
  7. Wang, X.; Matetić, M.; Zhou, H.; Zhang, X.; Jemrić, T. Postharvest quality monitoring and variance analysis of peach and nectarine cold chain with multi-sensors technology. Appl. Sci. 2017, 7, 133. [Google Scholar] [CrossRef]
  8. Badia-Melis, R.; Ruiz-Garcia, L.; Garcia-Hierro, J.; Villalba, J. Refrigerated fruit storage monitoring combining two different wireless sensing technologies: RFID and WSN. Sensors 2015, 15, 4781–4795. [Google Scholar] [CrossRef] [PubMed]
  9. Lin, Y.S.; Sylvester, D.; Blaauw, D. An ultra low power 1V, 220nW temperature sensor for passive wireless applications. In Proceedings of the 2008 IEEE Custom Integrated Circuits Conference, San Jose, CA, USA, 21–24 September 2008. [Google Scholar] [CrossRef]
  10. Makinwa, K.A.A. Smart Temperature Sensors in Standard CMOS. Procedia Eng. 2010, 5, 930–939. [Google Scholar] [CrossRef]
  11. Yousefzadeh, B.; Shalmany, S.H.; Makinwa, K.A.A. A BJT-based temperature-to-digital converter with ±60 mK (3σ) inaccuracy from −70 °C to 125 °C in 160 nm CMOS. IEEE J. Solid-State Circuits 2017, 52, 1044–1052. [Google Scholar] [CrossRef]
  12. Souri, K.; Chae, Y.; Ponomarev, Y.; Makinwa, K.A.A. A precision DTMOST-based temperature sensor. In Proceedings of the 2011 ESSCIRC (ESSCIRC), Helsinki, Finland, 12–16 September 2011. [Google Scholar] [CrossRef]
  13. Zhao, W.; Pan, R.; Ha, Y.; Yang, Z. A 0.4 V 280-nW frequency reference-less nearly all-digital hybrid domain temperature sensor. In Proceedings of the 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC), KaoHsiung, Taiwan, 10–12 November 2014. [Google Scholar] [CrossRef]
  14. Song, W.; Lee, J.; Cho, N.; Burm, J. An Ultralow Power Time-Domain Temperature Sensor With Time-Domain Delta–Sigma TDC. IEEE Trans. Circuits Syst. II: Express Briefs 2017, 64, 1117–1121. [Google Scholar] [CrossRef]
  15. Fojtik, M.; Kim, D.; Chen, G.; Lin, Y.S.; Fick, D.; Park, J.; Seok, M.; Chen, M.-T.; Foo, Z.; Blaauw, D.; et al. A millimeter-scale energy-autonomous sensor system with stacked battery and solar cells. IEEE J. Solid-State Circuits 2013, 48, 801–813. [Google Scholar] [CrossRef]
  16. Anand, T.; Makinwa, K.A.A.; Hanumolu, P.K. A self-referenced VCO-based temperature sensor with 0.034 °C/mV supply sensitivity in 65 nm CMOS. In Proceedings of the 2015 Symposium on VLSI Circuits (VLSI Circuits), Kyoto, Japan, 17–19 June 2015. [Google Scholar] [CrossRef]
  17. Chen, C.C.; Chen, P.; Liu, A.W.; Lu, W.F.; Chang, Y.C. An accurate CMOS delay-line-based smart temperature sensor for low-power low-cost systems. Meas. Sci. Technol. 2006, 17, 840–847. [Google Scholar] [CrossRef]
  18. Lee, S.C.; Chiueh, H. A 69 μW CMOS smart temperature sensor with an inaccuracy of ±0.8 °C (3σ) from −50 °C to 150 °C. In Proceedings of the Sensors, 2012 IEEE, Taipei, Taiwan, 28–31 October 2012. [Google Scholar] [CrossRef]
  19. Souri, K.; Chae, Y.; Thus, F.; Makinwa, K.A.A. 12.7 A 0.85 V 600nW all-CMOS temperature sensor with an inaccuracy of ±0.4 °C (3σ) from −40 to 125 °C. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 9–13 February 2014. [Google Scholar] [CrossRef]
  20. Allen, P.E.; Holberg, D.R. CMOS Analog Circuit Design, 2nd ed.; Oxford University Press: New York, NY, USA, 2002; pp. 97–99. [Google Scholar]
  21. Gray, P.R.; Hurst, P.J.; Lewis, S.H.; Meyer, R.G. Analysis and Design of Analog Integrated Circuits, 4th ed.; Wiley: New York, NY, USA, 2001; pp. 65–71. [Google Scholar]
  22. Ueno, K.; Asai, T.; Amemiya, Y. Low-power temperature-to-frequency converter consisting of subthreshold CMOS circuits for integrated smart temperature sensors. Sens. Actuators A Phys. 2011, 165, 132–137. [Google Scholar] [CrossRef]
  23. Jiang, H.; Wang, P.H.P.; Mercier, P.P.; Hall, D.A. A 0.4-V 0.93-nW/kHz relaxation oscillator exploiting comparator temperature-dependent delay to achieve 94-ppm/°C stability. IEEE J. Solid-State Circuits 2018, 53, 3004–3011. [Google Scholar] [CrossRef]
  24. Paidimarri, A.; Griffith, D.; Wang, A.; Burra, G.; Chandrakasan, A.P. An RC oscillator with comparator offset cancellation. IEEE J. Solid-State Circuits 2016, 51, 1866–1877. [Google Scholar] [CrossRef]
  25. Cheng, Y.; Hu, C. MOSFET Modeling & BSIM3 User’s Guide; Kluwer Academic Publishers: New York, NY, USA, 2002. [Google Scholar]
  26. Liu, W.; Hu, C. BSIM4 and MOSFET Modeling for IC Simulation; World Scientific: Singapore, 2011. [Google Scholar]
  27. Chauhan, Y.S.; Venugopalan, S.; Karim, M.A.; Khandelwal, S.; Paydavosi, N.; Thakur, P.; Niknejad, A.M.; Hu, C.C. BSIM—Industry standard compact MOSFET models. In Proceedings of the 2012 Proceedings of the European Solid-State Device Research Conference (ESSDERC), Bordeaux, France, 17–21 September 2012. [Google Scholar] [CrossRef]
  28. Yener, S.; Kuntman, H. Algorithms on the extraction of BSIM MOSFET model parameters via measurement data. In Proceedings of the 2009 International Conference on Electrical and Electronics Engineering-ELECO 2009, Bursa, Turkey, 5–8 November 2009. [Google Scholar]
  29. Vaz, A.; Ubarretxena, A.; Zalbide, I.; Pardo, D.; Solar, H.; Garcia-Alonso, A.; Berenguer, R. Full passive UHF tag with a temperature sensor suitable for human body temperature monitoring. IEEE Trans. Circuits Syst. Ii Express Briefs 2010, 57, 95–99. [Google Scholar] [CrossRef]
  30. Shim, D.; Jeong, H.; Lee, H.; Rhee, C.; Jeong, D.K.; Kim, S. A process-variation-tolerant on-chip CMOS thermometer for auto temperature compensated self-refresh of low-power mobile DRAM. IEEE J. Solid-State Circuits 2013, 48, 2550–2557. [Google Scholar] [CrossRef]
  31. Mikulić, J.; Schatzberger, G.; Barić, A. A 1-MHz on-chip relaxation oscillator with comparator delay cancelation. In Proceedings of the ESSCIRC 2017-43rd IEEE European Solid State Circuits Conference, Leuven, Belgium, 11–14 September 2017. [Google Scholar] [CrossRef]
Figure 1. Block diagram of the temperature sensor.
Figure 1. Block diagram of the temperature sensor.
Sensors 19 01777 g001
Figure 2. Circuit implementation of the temperature-to-current conversion.
Figure 2. Circuit implementation of the temperature-to-current conversion.
Sensors 19 01777 g002
Figure 3. The simulated I0 vs. the temperature, the linear approximation of I0, and the hyperbolic prediction from (12).
Figure 3. The simulated I0 vs. the temperature, the linear approximation of I0, and the hyperbolic prediction from (12).
Sensors 19 01777 g003
Figure 4. Circuit implementation of the current controlled oscillator.
Figure 4. Circuit implementation of the current controlled oscillator.
Sensors 19 01777 g004
Figure 5. The SoC which contains the presented temperature sensor: (a) micrograph of the SoC; (b) layout of the presented temperature sensor.
Figure 5. The SoC which contains the presented temperature sensor: (a) micrograph of the SoC; (b) layout of the presented temperature sensor.
Sensors 19 01777 g005
Figure 6. The SoC, which contains the presented sensor in a QFP-64 package (decapped).
Figure 6. The SoC, which contains the presented sensor in a QFP-64 package (decapped).
Sensors 19 01777 g006
Figure 7. Power consumption breakdown of the presented temperature sensor chip (simulated).
Figure 7. Power consumption breakdown of the presented temperature sensor chip (simulated).
Sensors 19 01777 g007
Figure 8. Experiment setup: (a) the PCB used to the test the sensor in the SoC in a QFP64 package; (b) the measurement PCB in the chamber.
Figure 8. Experiment setup: (a) the PCB used to the test the sensor in the SoC in a QFP64 package; (b) the measurement PCB in the chamber.
Sensors 19 01777 g008
Figure 9. Measured temperature sensor outputs without calibration.
Figure 9. Measured temperature sensor outputs without calibration.
Sensors 19 01777 g009
Figure 10. Temperature measurement error for the range of −60 to +40 °C with the conventional linear calibration.
Figure 10. Temperature measurement error for the range of −60 to +40 °C with the conventional linear calibration.
Sensors 19 01777 g010
Figure 11. Temperature measurement error for the range of −60 to +40 °C with the proposed nonlinear calibration.
Figure 11. Temperature measurement error for the range of −60 to +40 °C with the proposed nonlinear calibration.
Sensors 19 01777 g011
Table 1. Performance summary.
Table 1. Performance summary.
Sensor[13][14][15][16][17][18][19]This Work
CMOS technology (nm)6518018065350180160130
Area (mm2)0.0220.0890.050.0040.090.4750.0850.0014
Inaccuracy (°C)2.62.04.61.81.21.60.82.0
Temp. range (°C)0~100−20~800~1000~100−40~60−50~150−40~125−60~40
Conversion rate (sps)401.31045 k5100166.71.0
Power consumption (μW)0.280.80.21541.5690.60.15 *
Supply voltage (V)0.41.81.813.01.50.851.1
Resolution (mK)250903003009013063500
Number of samples81057168164
Trimming points22222112
* Including the power consumption of all the function blocks shown in Figure 1, but not including that of the digital calibration function.

Share and Cite

MDPI and ACS Style

Yang, W.; Jiang, H.; Wang, Z. A 0.0014 mm2 150 nW CMOS Temperature Sensor with Nonlinearity Characterization and Calibration for the −60 to +40 °C Measurement Range. Sensors 2019, 19, 1777. https://doi.org/10.3390/s19081777

AMA Style

Yang W, Jiang H, Wang Z. A 0.0014 mm2 150 nW CMOS Temperature Sensor with Nonlinearity Characterization and Calibration for the −60 to +40 °C Measurement Range. Sensors. 2019; 19(8):1777. https://doi.org/10.3390/s19081777

Chicago/Turabian Style

Yang, Wendi, Hanjun Jiang, and Zhihua Wang. 2019. "A 0.0014 mm2 150 nW CMOS Temperature Sensor with Nonlinearity Characterization and Calibration for the −60 to +40 °C Measurement Range" Sensors 19, no. 8: 1777. https://doi.org/10.3390/s19081777

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop