Next Article in Journal
An Electromagnetic/Capacitive Composite Sensor for Testing of Thermal Barrier Coatings
Next Article in Special Issue
A High-Precision CMOS Temperature Sensor with Thermistor Linear Calibration in the (−5 °C, 120 °C) Temperature Range
Previous Article in Journal
A High-Q AFM Sensor Using a Balanced Trolling Quartz Tuning Fork in the Liquid
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Study of CMOS-SOI Integrated Temperature Sensing Circuits for On-Chip Temperature Monitoring

Department of Electrical Engineering, Technion—Israel Institute of Technology, Haifa 3200003, Israel
*
Author to whom correspondence should be addressed.
Sensors 2018, 18(5), 1629; https://doi.org/10.3390/s18051629
Submission received: 11 April 2018 / Revised: 7 May 2018 / Accepted: 17 May 2018 / Published: 19 May 2018
(This article belongs to the Special Issue CMOS Smart Temperature Sensors)

Abstract

:
This paper investigates the concepts, performance and limitations of temperature sensing circuits realized in complementary metal-oxide-semiconductor (CMOS) silicon on insulator (SOI) technology. It is shown that the MOSFET threshold voltage (Vt) can be used to accurately measure the chip local temperature by using a Vt extractor circuit. Furthermore, the circuit’s performance is compared to standard circuits used to generate an accurate output current or voltage proportional to the absolute temperature, i.e., proportional-to-absolute temperature (PTAT), in terms of linearity, sensitivity, power consumption, speed, accuracy and calibration needs. It is shown that the Vt extractor circuit is a better solution to determine the temperature of low power, analog and mixed-signal designs due to its accuracy, low power consumption and no need for calibration. The circuit has been designed using 1 µm partially depleted (PD) CMOS-SOI technology, and demonstrates a measurement inaccuracy of ±1.5 K across 300 K–500 K temperature range while consuming only 30 µW during operation.

1. Introduction

Smart integrated temperature sensors and circuits are the main building blocks in all analog and mixed-signal applications, as well as in high-performance systems-on-chip (SoCs). Since the design complexity and density of VLSI circuits are increasing day by day continuous thermal monitoring is necessary to reduce thermal damage, increase reliability and avoid thermal runaway scenarios that can cause irreversible damage, i.e., overheating. The temperature across the chip should be monitored continuously, and the system operation should be adjusted accordingly. For example, in multicore SoCs, temperature information is being leveraged to maximize performance. The workload is shuffled between different cores before the temperature rises to a dangerous level. Alternatively, the clock rate is dynamically adjusted to boost system performance within a certain thermal budget [1,2,3].
To implement a comprehensive thermal monitoring system multiple temperature sensors should be used. The desired number of sensors, their exact location, and accuracy depend greatly on system-level requirements, integrated circuits (IC) packaging, and cooling system (if any). Sensor accuracy and operation range are two key system-level considerations. Typically, a less accurate sensor consumes less silicon area and power compared to a highly accurate sensor. A narrower temperature detection dynamic range relaxes the linearity requirements, which further saves area and power. It is challenging to generalize this problem, but it is widely known that the design tradeoffs are largely driven by exact application and system-level architecture. Therefore, the temperature sensors, which are used for temperature monitoring in VLSI chips should meet the following requirements: compatibility with the target process, a reasonable silicon area, low power consumption, require no additional fabrication (CMOS-SOI compatibility), low cost, high accuracy and sensor linearity in the desired temperature range.
Following these requirements, various on-chip temperature-sensing circuit have been reported in the literature. In CMOS technology, the most widely used approach takes advantage of the proportional-to-absolute temperature (PTAT) property of the voltage difference between two forward-biased diodes or bipolar junction transistors (BJT) with different currents or areas [4,5]. The accuracy of BJT-based sensors depends on the diode ideality factor and accuracy of the current ratio used to bias them. Diode ideality factor is a process-dependent parameter. Careful and meticulous layout for good matching alone cannot guarantee the required matching between the individual current mirrors to achieve the desired level of accuracy. Therefore, on-chip dynamic element matching, trimming, and post fabrication calibration techniques are used in this type of sensor to improve accuracy. As a result, thermal diodes that enable accurate temperature measurement are large and power consuming, renders them suboptimal for the whole chip thermal profiling applications where placement of a large number of sensors is necessary.
For temperature sensors operating above 500 K or uncooled thermal sensors [6] CMOS-SOI process is usually adopted due to its reduced leakage currents compared to bulk CMOS, low power consumption and availability of commercial process. Most of the CMOS based temperature sensors are not compatible with CMOS-SOI technology due to the thin device layer. The two most commonly used elements for temperature sensing available in CMOS-SOI technology are: lateral diodes [7,8,9,10,11,12] and standard MOSFET transistors [13]. The SOI-based diode is an attractive choice for a temperature sensor because it is compact in size, gives linear response up to ultra-high temperature [11] and is simpler to integrate with on-chip, sensor drive and readout circuitry. While SOI diode temperature sensors have been utilize for temperature monitoring in different application [7,8,9,10,11,12] the effect of device mismatches and consequently calibration needs are rarely discussed. One of these [8], describes a work that uses a SOI lateral PIN diode for temperature sensing from 100 K to 400 K and suggests to improve the diodes accuracy by reducing the temperature range of the diode. In other study [12], a smart CMOS-SOI temperature sensor has been described that uses a self-discharging SOI diode for temperature sensing and an error of ±1.95C (3ϭ) has been achieved after two-point calibration.
In this paper, we address the aforementioned challenges by demonstrating the design and successful implementation of a small, low-power, and accurate on chip temperature sensing circuit based on “Threshold Voltage Thermometry” [14], namely a threshold voltage (Vt) extractor circuit. The performance of the Vt extractor circuit is compared to a standard circuit used for temperature sensing, i.e., PTAT circuit, in terms of sensitivity, linearity, speed, accuracy, calibration needs, area and sensor power consumption. Both circuits were designed using 1 µm PD CMOS-SOI technology [15] and their performance has been experimentally verified by comparing simulated and measured results.
For 1 µm CMOS-SOI process, this 80 × 100 (µm2) sensor consumes ~30 μW using a 5-V supply. Our thermal measurements using multiple chips show only ±1.5 K inaccuracy between the 300 K and 500 K temperature range.

2. Proportional to Absolute Temperature (PTAT) Circuit

Proportional to absolute temperature circuits are widely used to generate temperature independent current/voltage sources, band-gap reference circuits and temperature sensors in many digital, analog and mix-signal systems. Typical CMOS based PTAT circuits are shown in Figure 1a,b [16]. The first circuit is based on the exponential dependence of the vertical PN diode forward voltage upon temperature and the second one is based on the dependence of resistors and channel mobility to generate an output voltage/current proportional to the chip local temperature.

2.1. Principle of Operation

The sensing principle of a PTAT temperature sensor is depicted in Figure 1a. The sensor’s core consists of a pair of matched vertical PN diodes biased by two identical current sources (Ipn) while diode D2 consists of n parallel connected diodes with the same device area [7]. The voltage drop on each diode is given by [14]:
V p n = k B T q [ ln ( I p n I 0 + 1 ) ] k B T q ln ( I p n I 0 )
where Ipn is the diode current, q is the electron charge, kB is Boltzmann’s constant, Vpn is the voltage across the diode, T is the absolute temperature, I0 is the temperature-dependent reverse saturation current.
By choosing the upper transistors so that the current in both branches is similar (IM1 = IM1 = Ipn), and by neglecting channel length modulation and bulk effect the circuit output voltage can be calculated:
V p n , D 1 = V p n , D 2 + I p n R s k B T q ln ( I p n I 0 ) = k B T q ln ( I p n n I 0 ) + I p n R s I p n = I o u t = k B T ln ( n ) q R s V o u t = I o u t R o u t = k B T q ln ( n ) R o u t R s
where n is the number of parallel connected diodes, Iout is the circuit output current, Rout and Rs are the output and series resistors respectively. Usually, the resistors Rout and Rs are from the same type so their temperature coefficient will balance each other and improve the circuit performance.
Figure 1b illustrates another PTAT implementation which uses the dependence of mobility and resistors upon temperature to generate the output voltage. The upper p-type transistors (M1 and M2) have the same current (IM1 = IM2 = Id) because they have identical dimensions. Hence, we can calculate the NMOS gate voltage:
V g s 4 = V g 5 + I d × R s
where Vgs is the transistor gate-source voltage and Id is the transistor drain current.
By neglecting body effect we can determine the circuit output voltage:
2 I d μ n C o x ( W / L ) N + V t 4 = 2 I d μ n C o x K ( W / L ) N + V t 5 + I d × R s 2 I d μ n C o x ( W / L ) N ( 1 1 K ) = I d × R s I d = I o u t = 2 μ n C o x ( W / L ) N × 1 R s 2 ( 1 1 K ) 2 V o u t = I o u t × R o u t = 2 μ n C o x ( W / L ) N × R o u t R s 2 ( 1 1 K ) 2
where µn is the electron mobility, K is transistors M4 and M5 size ratio, W and L are the transistor width and length respectively and Cox is the oxide capacitance.
As seen from Equation (4), the output voltage is inversely proportional to the channel mobility creating a proportional to absolute temperature output voltage. It is important to emphasize that since each diode-connected device feeds from a current source this design is relatively independent of Vdd.

2.2. Implementation

In CMOS-SOI technology it is impossible to manufacture a vertical diode due to the thin body layer; hence, in order to implement a diode based circuit (Figure 1a), a forward-biased diode is built with a lateral structure based on the device layer, forming a source/drain PIN junction. The diode based circuit was implemented using 25 N+/P-well/P+ non-gated diodes (24 are finger diodes interdigitated in parallel) with width of 16 µm and length of 0.25 µm, as presented in Figure 2. The PMOS and NMOS dimensions are (W/L)P = 6 µm/2 µm/(W/L)N = 6 µm/3 µm for the diode based design and (W/L)P = 24 µm/2 µm/(W/L)N = 6 µm/3 µm for the resistor based design. The size ratio between transistors M4 and M5, i.e., K, in the resistor based PTAT (Figure 1b) is 2.
Both architectures are realized in a standard 1 µm PD CMOS-SOI process [15]. The maximum operating voltage is 5 V. The buried oxide (BOX) thickness is 1 μm, the gate oxide thickness is 25 nm, and the active silicon thickness is 250 nm. The circuits chip areas are 178 × 150 µm2 and 85 × 100 µm2 for the diode based design and the resistor based design, respectively. The resistors in both circuits are implemented using high resistivity Polysilicon.
Although the SOI lateral diode is modeled as an ideal diode, it should be noted that the saturation current exhibits perimeter dependence rather than area dependence, as in regular planar bulk diodes, due to the thin body layer. Contrary to CMOS bulk diodes, where surface effects may be neglected, the thin device layer in SOI technology requires a model where the current is primarily dependent on surface effects, i.e., I0 = JSW(T) × Perimeter.
As a result, the saturation current is strongly affected by the surface, determined by the device periphery. This significantly increases the mismatch between diodes and affects the diode’s performance as a temperature sensor, as reported in [14] for lateral diodes fabricated in two different SOI processes.

2.3. Measurements and Simulations

The output voltage of both designs is sampled by using a DMM4040 Digital Precision Multimeter (Tektronix, Beaverton, OR, USA) while the circuit’s temperature is determined with a variable temperature micro probe system from MMR Technologies (San Jose, CA, USA), which features a temperature control accuracy of ±0.01 K. All transistors (M1–M5) are biased and their current measured using a B1500A semiconductor parameter analyzer (Agilent, Santa Clara, California, USA). Three samples from each design were characterized in temperature ranging from 300 K to 500 K and the measured output voltage is shown in Figure 3. These results are compared to Vout vs. T curves obtained from electrical simulations in the SPICE simulator based on BSIM4 MOSFET models [17], also presented in Figure 3.
The temperature sensing circuits’ performance is also analyzed using process corner simulations. A four-corner model file provided by the foundry is used for the corner-based analysis. In Figure 3 only the corners with worst case variations are shown—Fast NMOS Fast PMOS (FF) and Slow NMOS Slow PMOS (SS).
In this analysis, the coefficient of determination, R2 [18] has been used to evaluate the linearity of the sensor, through the agreement between the experimental data and their best linear fit. Figure 3 shows that the resistor based design exhibits linear dependence upon temperature in the entire temperature range (R2 = 0.9988 in the worst case sample) and minimal variations between the measured samples. The diode based design is also linear as a function of temperature (R2 = 0.9985 in the worst case sample), but has larger variations between the different samples. The measured temperature sensitivity of the output voltage after a linear curve fit is 9.8 mV/K for the resistor based PTAT design and it is close to that obtained from the simulation. The sensitivity of the diode based design is 4.7 mV/K for a bias current of 3.3 µA, which is higher than that reported for SOI lateral PIN diodes, which is in the order of 1.1 mV/K for a bias current of 2 µA [7,8,9].
As illustrated in Figure 3, for the diode based design there is a DC shift between the measured and simulated results for all measured samples (maximum value of DC shift is 32 mV) due to the dependence of the saturation current in the diode dimensions. This dependency of the saturation current causes a large mismatch between lateral diodes that should be identical, as reported by us in [14]. As a result, the accuracy of the diode based PTAT circuit decreases.
In addition, the corner analysis shows that the worst case maximum temperature error occurs at the FF corner and caused combined offset of 100 mV and 150 mV for the resistor and diode based PTAT designs. It is important to note that this offset includes large mismatches in polysilicon resistance value and can be improved by matched layout. The shifts and variations between the different measured samples for the diode based design results in large temperature measurement errors and require precise calibration process.
The error in the temperature measurement (ΔT) has been obtained by calculating the difference, ΔVout, between the measured and simulated Vout vs. T, which is then converted into temperature using the circuit sensitivity. Figure 4 shows the error in temperature measurement as a function of applied temperature for both designs in the measured samples presented in Figure 3. Accordingly, the sensor’s inaccuracy is estimated:
Δ T = | V o u t , m e a s u r e d V o u t , s i m u l a t e d | d V o u t / d T
From the extracted error curves presented in Figure 4 one can observe a maximum error of ±6.5 K for the diode based PTAT circuit and an error of ±1.5 K when using the temperature dependence of polysilicon resistors, without any additional calibration. These results show that the resistor based PTAT circuit is a good temperature sensor for temperatures ranging from 300 K till 500 K, though it is power consuming. The circuit measured power consumption at room temperature is 0.25 mW for the resistor based design and 45 µW for the diode based design. Errors similar to the errors calculated for the resistor based design, in order of 2 K, have been presented in [12] after two-point calibration for temperature sensing from 278 K up to 373 K using fully depleted single lateral SOI diode consuming 100 µW.

2.4. Time Response

In addition to the steady-state on-chip temperature distribution, the sensor’s thermal transient response can also be of interest for various applications. For instance, in dynamic thermal/leakage management, the dynamic variation of on-chip temperature is used to adjust the operation of the chip such that the leakage power and the peak chip temperature can be properly controlled [19].
The time response of a temperature sensor is defined as the time it takes the sensor output to achieve 63% of its final value after a step change in temperature is impressed on its surface. To perform thermal transient analysis, a numerical integration method such as the backward Euler is required [20]. To solve the thermal transient analysis problem, one can model the thermal system as an equivalent RC circuit. Then, a SPICE-like simulation technique can be applied to the equivalent RC circuit to provide the thermal transient response [20,21]. In this study the PTAT time response was simulated using SPICE circuit simulator with BSIM4 MOSFET models [17]. The standard MOSFET models were changed to include a parameter describing each device specific temperature rise above the simulated ambient temperature, i.e., trise. Then, in order to simulate a local temperature, only trise of the MOSFETs composing the temperature sensing circuit were changed externally and the PTAT output voltage was sampled. The transient simulation results are presented in Figure 5 for both PTAT designs and two temperature profiles: a graduate and a step temperature change.
Figure 5a,c show the PTAT response to a graduate temperature change; from t = 10 µs to t = 30 µs the circuit local temperature increases from 295 K to 330 K (trise = 35 K) and then it starts to cool down to room temperature. This is a lifelike scenario for most CMOS-SOI applications were the thermal time constants are long [22]. It can be easily concluded that both PTAT designs follow the temperature changes accurately and without delay, meaning that the time response of the circuit is much smaller than chip thermal time constants.
The time response of each design is obtained by simulating a step change in the sensor local temperature and the results are presented in Figure 5b,d. Time constants of 170 ns and 165 ns were calculated for the resistor and diode based designs, respectively.

3. Vt Extractor Circuit

We previously proposed to determine the chip local temperature by measuring the transistor threshold voltage [14]. This requires a careful thermal characterization of Vt(T) and dVt/dT of the process under study. Subsequently, by monitoring the changes in Vt under actual operation, the true local temperature of devices can be determined. We refer to this method as “Threshold—Voltage Thermometry” and we recently described it in details in [14]. In order to implement this method the MOSFET threshold voltage needs to be extracted during the chip operation, i.e., on-line. This was done by using a Vt extractor circuit [23,24,25,26,27]. A Vt extractor is a circuit that extracts the threshold voltage of a MOS device according to the device local temperature [23].

3.1. Principle of Operation

The architecture for a Vt extractor circuit which we have chosen to implement is based on [28]. We chose this design because it combines a simple low voltage Vt extracting block and feedback, to achieve independence of the output from the supply voltage, low current consumption (therefore, low consumption), accuracy of the extracted threshold voltage toward supply voltage variations and transistor mismatch.
The tested extractor is presented in Figure 6 and consists of three blocks: (i) a simple Vt extracting block; (ii) an offset generator; and (iii) the current feedback loop.

3.1.1. Analysis of Vt Extracting Block

Assuming transistors M1 till M4 are all operating in saturation, with the ratios of (W/L) shown in Figure 6 ( K 1 = K 2 = K 3 = K 4 / 4 = K ), eliminating body effect ( Vbs,i = 0) by connecting the bulk and source terminals in order to improve the circuit accuracy, and assuming that the drain current (Id) of each MOSFET follows the simple quadratic law:
I d i = K i ( V g s i V t ) 2
then:
I d 1 = I d 2 V s = V i n / 2 I d 3 = I d 4 V o u t = V t
where the voltage nodes (Vs, Vin and Vout) are shown in Figure 6 and Ki is the transcoductance of transistor i.
In order to compensate for channel length modulation, mobility reduction and transistor mismatch the following feedback to the Vt extractor block (Vin) is needed [23]:
V i n = 2 V o u t + 2 V o f f
where Voff is several (kBT/q).

3.1.2. Analysis of Offset Generator

In the attempt to implement the feedback shown in Equation (8), an offset should be added to Vout. The purpose of the offset generator is to take the Vt extractor block output and add an offset of several (kBT/q) to this value. Considering that Vout is close to Vt, then       Vgs5 – Vt < 3kBT/q, subthreshold drain current equations are used in order to calculate this block’s output −Vgon:
a I d 5 = I d 8 a I 0 exp ( V o u t / ( k B T / q ) ) = I 0 b exp ( V g o n / ( k B T / q ) ) V g o n = V o u t + ( k B T / q ) ln ( a b )
where a = K7/K6 is the p-mirror current gain and b is the ratio K5/K8. Hence, Vgon includes the necessary offset from Vout.

3.1.3. Analysis of Feedback Block

Transistors M9 and M4 in Figure 6 are 5 and 4 times wider than M2, respectively, and due to the current mirror formed by M10 and M11, Vgon is fed back to Vs as follows:
I d 9 = I d 2 + I d 4 V s = V g o n ( 6 ) + ( 9 ) V i n = 2 V o u t + 2 ( k B T / q ) ln ( a b )
so the proposed feedback implements Equation (10), corresponding to Equation (8) resulting in a very accurate extraction of the threshold voltage.

3.2. Implementation

The circuit was implemented in 1 µm PD CMOS-SOI process [15]. The MOSFET (W/L) ratio was chosen by performing a large number of parametric simulations in which the circuit output was compared with the simulated threshold voltage calculated using BSIM4 MOSFET [17] models at different temperatures. For supply voltage of 5 V, an optimal performance in terms of accuracy, chip area and circuit power consumption was achieved for body connected transistors with body contact shortened to the source ( V b s i = 0 ) and W/L ratio of 4/9 µm/µm for all transistors in the Vt extractor, offset generator and feedback blocks. The capacitor in the offset generator block is used to prevent parasitic oscillation and noise disturbances during transient. The resent transistor (M12) is set with minimum sizing (W/L = 4/1 µm/µm) and used as an on/off switch for the Vt extractor circuit.
Overall, the circuit occupies a chip area of 80 × 100 µm2 and its measured power consumption at room temperature is 27.5 µW.

3.3. Measurements and Simulations

The Vt extractor voltage was measured at several nodes, see Figure 6, in temperatures ranging from 300 K to 500 K. The measured output voltage is compared to circuit DC simulations, the nominal threshold voltage obtained from BSIM4 MOSFET [17] models and process thermal characterization in order to determine the sensors accuracy. In addition, process corner simulations were run to predict linearity robustness over process variations compared to typical operation.
Figure 7 shows the measured and simulated temperature dependence of the Vt extractor circuit voltages (Vout, Vin and Vgon) for three different samples from 300 K to 500 K. The threshold voltage calculated using BSIM4 MOSFET [17] model and the one extracted during the process thermal characterization are presented as well. In Figure 7 only the corners with worst case variations are shown—FF and SS.
To evaluate the linearity of the Vt extractor circuit the coefficient of determination R2 [18] has been used like in the PTAT sensors case. As seen from Figure 7a the circuit output is linear with temperature in the entire temperature range (R2 = 0.999 for all measured samples) and produces a maximum error of ~1% (~12 mV DC shift) from the simulated and measured Vt values for all measured samples. At high temperatures, the increase in the excess voltage (Voff) can cause nonlinearity in the temperature sensing capability of the Vt extractor circuit due to the offset created between the desired feedback, as indicated in Equation (8), and Vin. Hence, if the generated Vin is too high, the transistors in the Vt extractor block (presented in Figure 6) won’t operate in saturation causing nonlinearity of the output voltage.
The measured sensor sensitivity given by the slope of Vout vs. T shown in Figure 7a is 2.6 mV/K, which is close to the threshold voltage dependence upon temperature (dVt/dT) obtained from simulation (−2.5 mV/K) and is consistent over the three samples. There is a good correspondence between all measured and simulated results for all sampled circuit voltages (Vout, Vin and Vgon), as shown in Figure 7a–d. The corner analysis demonstrates that the maximum temperature error due to process variations occurs for the SS corner and caused a maximum offset of 25 mV in the circuit output voltage. In addition, as seen in Figure 7b, there are minor variations between the different measured samples which verifies the robustness, independence of the output voltage upon transistor mismatch and repeatability of this design.
The measurement inaccuracy in the temperature sensing (ΔT) has been obtained by calculating the difference, ΔV, between the circuits measured output voltage and the threshold voltage extracted during the process thermal characterization, which is then converted into temperature using the measured dVt/dT. Accordingly, the error in temperature is estimated by:
Δ T = | V o u t , m e a s u r e d V t , m e a s u r e d | d V t / d T
Figure 8 shows the temperature error as a function of applied temperature for three different chips. The worst-case errors occur at high temperatures (~500 K) most likely due to the excess voltage Voff, which is proportional to the thermal voltage (kBT/q), causing a large variation from the desired feedback as indicated in Equation (8). After applying common-centroid and other matching techniques in the layout the maximum error due to offset is 1.5 K around 500 K, as shown in Figure 8. At the low end of the temperature range near room temperature, the error is much less, around 0.5 K. In future design, offset cancellation techniques such as chopping and auto-zeroing can be applied to further reduce the effect of offset on the sensor accuracy and improve the performance.

3.4. Time Response

The time response of the Vt extractor circuit was simulated under the same conditions (graduate and step change in temperature) as the PTAT and the results are shown in Figure 9. Figure 9a present the Vt extractor response to a graduate temperature change and it can be easily seen that the sensor follows the temperature changes accurately and without delay; meaning a decrease in the output voltage when temperature increases and vice versa during the chip cool down.
The time response of the sensor is obtained by simulating a step change in the sensor local temperature and the results are presented in Figure 9b. A Time constant of 165 ns was calculated from this simulation.

4. Summary

In this paper the thermal performance of various circuits for temperature sensing and monitoring manufactured in 1 µm PD CMOS-SOI technology was characterized and compared in the temperature range of 300 K–500 K. All sensors exhibit linearity and high sensitivity over the entire temperature range. However, the lateral diodes based PTAT design is inaccurate due to diode mismatches caused by the dependence of the saturation current upon diode dimensions. A resistor based PTAT has good accuracy but requires high power consumption during operation. The PTAT circuit is best utilized when implementing temperature independent current or voltage sources (Band Gap References), although a carful calibration is needed. The implemented Vt extractor circuit has a small error (1%) under nominal conditions, linear dependence upon temperature, low power consumption, low area and fast response time. This makes this sensor optimal to be used as a temperature sensor for thermal management in CMOS-SOI technologies. A performance comparison between the sensors reported in this paper and the recent on-chip temperature sensors is shown in Table 1. As evident, the Vt extractor circuit presented here is smaller than most reported sensors and has low power consumption while achieving good accuracy with no need in additional calibration. The circuit will be useful as a temperature sensor in high-performance analog, mixed-signal, and digital ICs due to its high performance and low power consumption. Other applications for this temperature sensor are IR sources or detectors and new generation of smart sensors, like gas sensors, where temperature monitoring is necessary to achieve better sensitivity and selectivity in presence of different gases [6].

Author Contributions

The work presented in this paper was a collaboration of all authors. M.M. designed/performed the experiments and wrote the paper. I.B. designed the PTAT circuits. Y.N. guided the research work and revised the paper.

Acknowledgments

This work was supported by the Sarah and Moshe Zisapel nano-electronics Center at the Technion—Israel Institute of Technology. The help of Ida Shumpei from Murata in the transient simulations is highly appreciated.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Poirier, C.; McGowen, R.; Bostak, C.; Naffziger, S. Power and temperature control on a 90 nm Itanium-family processor. In Proceedings of the IEEE ISSCC Digest of Technical Papers, San Francisco, CA, USA, 10 February 2005; pp. 304–305. [Google Scholar]
  2. Duarte, D.; Geannopoulos, G.; Muhgal, U.; Wong, K.; Taylor, G. Temperature sensor design in a high volume manufacturing 65 nm CMOS digital process. In Proceedings of the IEEE Custom Integrated Circuits Conference, San Jose, CA, USA, 16–19 September 2007; pp. 221–224. [Google Scholar]
  3. Li, Y.; Lakdawala, H. Smart integrated temperature sensor Mixed signal circuits and systems in 32-nm and beyond. In Proceedings of the IEEE Custom Integrated Circuits Conference, San Jose, CA, USA, 19–21 September 2011; pp. 1–8. [Google Scholar]
  4. Pertijs, M.A.P.; Niederkorn, A.; Ma, X.; McKillop, B.; Bakker, A.; Huijsing, J.H. A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.5 °C from −50 °C to 120 °C. IEEE J. Solid-State Circuits 2005, 40, 454–461. [Google Scholar] [CrossRef]
  5. Bakker, A.; Huijsing, J. Micropower CMOS temperature sensor with digital output. IEEE J. Solid-State Circuits 1996, 31, 933–937. [Google Scholar] [CrossRef]
  6. Zviagintsev, A.; Blank, T.; Brouk, I.; Bloom, I.; Nemirovsky, Y. Modeling the Performance of Nano Machined CMOS Transistors for Uncooled IR Sensing. IEEE Trans. Electron Devices 2017, 64, 4657–4663. [Google Scholar] [CrossRef]
  7. Souza, M.; Pavanello, M.A.; Flandre, D. Low power highly linear temperature sensor based on SOI lateral PIN diodes. In Proceedings of the IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA, USA, 10–13 October 2016. [Google Scholar]
  8. De Souza, M.; Rue, B.; Flandre, D.; Pavanello, M.A. Thermal sensing performance of lateral SOI PIN diodes in the 90–400 K range. In Proceedings of the IEEE International SOI Conference, Foster City, CA, USA, 5–8 October 2009. [Google Scholar]
  9. De Souza, M.; Rue, B.; Flandre, D.; Pavanello, M.A. Thin-Film Lateral SOI PIN Diodes for Thermal Sensing Reaching the Cryogenic Regime. J. Integr. Circuits Syst. 2010, 5, 160–167. [Google Scholar]
  10. Santra, S.; Guha, P.K.; Ali, S.Z.; Haneef, I.; Udrea, F. Silicon on Insulator Diode Temperature Sensor—A Detailed Analysis for Ultra-High Temperature Operation. IEEE Sens. J. 2010, 10, 997–1003. [Google Scholar] [CrossRef]
  11. Santra, S.; Guha, P.K.; Haque, M.S.; Ali, S.Z.; Udrea, F. Si diode temperature sensor beyond 300 °C. In Proceedings of the 2007 International Semiconductor Conference, Sinaia, Romania, 15 October–17 September 2007; pp. 415–418. [Google Scholar]
  12. Chowdhury, G.; Hassibi, A. An On-Chip Temperature Sensor with a Self-Discharging Diode in 32-nm SOI CMOS. IEEE Trans. Circuits Syst. II Express Briefs 2012, 59, 568–572. [Google Scholar] [CrossRef]
  13. Pathrose, J.; Zou, L.; Chai, K.T.C.; Je, M.; Xu, Y.P. A Time-Domain Smart Temperature Sensor without an Explicit Bandgap Reference in SOI CMOS Operating up to 225 °C. In Proceedings of the IEEE Asian Solid-State Circuits Conference (A-SSCC), Singapore, 11–13 November 2013. [Google Scholar]
  14. Malits, M.; Nemirovsky, Y. Nanometric Integrated Temperature and Thermal Sensors in CMOS-SOI Technology. Sensors 2017, 17, 1739. [Google Scholar] [CrossRef] [PubMed]
  15. XFAB CMOS-SOI 1 µm Process XI10. Available online: https://www.xfab.com/en/technology/soi/10-um-xi10/ (accessed on 18 March 2018).
  16. Gray, P.R.; Hurst, P.J.; Lewis, S.H.; Meyer, R.G. Analysis and Design of Analogue Integrated Circuits, 5th ed.; John Wiley & Sons: New York, NY, USA, 2009; pp. 321–324. [Google Scholar]
  17. Berkeley Short-Channel IGFET Model (BSIM). Available online: http://www-device.eecs.berkeley.edu/bsim/ (accessed on 8 March 2018).
  18. Nagelkerke, N.J.D. A note on the general definition of the coefficient of determination. Biometrika 1991, 78, 691–692. [Google Scholar] [CrossRef]
  19. Li, P.; Pileggi, L.T.; Asheghi, M.; Chandra, R. IC thermal simulation and modeling via efficient multigrid-based approaches. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2006, 25, 1763–1776. [Google Scholar]
  20. Cheng, Y.; Raha, P.; Teng, C.; Rosenbaum, E.; Kang, S. ILLIADS-T: An electro thermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1998, 17, 668–680. [Google Scholar] [CrossRef]
  21. Yu, Z.; Yergeau, D.; Dutton, R.; Nakagawa, S.; Deeney, J. Fast placement-dependent full chip thermal simulation. In Proceedings of the 2001 International Symposium on VLSI Technology, Systems, and Applications, Hsinchu, Taiwan, 18–20 April 2001; pp. 249–252. [Google Scholar]
  22. Székely, V.; Rencz, M. Thermal Dynamics and the Time Constant Domain. IEEE Trans. Compon. Packag. Technol. 2000, 23, 587–594. [Google Scholar] [CrossRef]
  23. Allen, P.E.; Holberg, D.R. CMOS Analog Circuit Design, 3rd ed.; Holt, Rinehard and Winston: New York, NY, USA, 2011; pp. 153–160. [Google Scholar]
  24. Ueno, K.; Hirose, T.; Asai, T.; Amemiya, Y. A 300 nW, 15 ppm/C, 20 ppm/V CMOS Voltage Reference Circuit Consisting of Subthreshold MOSFETs. IEEE J. Solid-State Circuits 2009, 44, 2047–2054. [Google Scholar] [CrossRef]
  25. Pathrose, J.; Zou, L.; Chai, K.T.C.; Je, M.; Xu, Y.P. Temperature Sensor Front End in SOI CMOS Operating up to 250 °C. IEEE Trans. Circuits Syst. II Express Briefs 2014, 61, 496–500. [Google Scholar] [CrossRef]
  26. Nang, L.K.; Mok, P.K.T. A CMOS voltage reference based on weighted ΔVGS for CMOS low-dropout linear regulators. IEEE J. Solid-State Circuits 2003, 38, 146–150. [Google Scholar]
  27. Zhou, Z.; Zhu, P.; Shi, Y.; Wang, H.; Ma, Y.; Xu, X.; Tan, L.; Ming, X.; Zhang, B. A CMOS voltage reference based on mutual compensation of Vtn and Vtp. IEEE Trans. Circuits Syst. II Express Briefs 2012, 59, 341–345. [Google Scholar] [CrossRef]
  28. Fikos, G.; Siskos, S. Low-Voltage Low-Power Accurate CMOS Vt Extractor. IEEE Trans. Circuit Syst. II Analog Digit. Signal Process. 2001, 48, 626–628. [Google Scholar] [CrossRef]
Figure 1. Conventional proportional to absolute temperature (PTAT) circuit implemented in CMOS technology based on (a) the exponential dependence of vertical PN diodes; (b) Polysilicon resistors.
Figure 1. Conventional proportional to absolute temperature (PTAT) circuit implemented in CMOS technology based on (a) the exponential dependence of vertical PN diodes; (b) Polysilicon resistors.
Sensors 18 01629 g001
Figure 2. Schematic cross-section of the lateral SOI diode used in the diode based PTAT circuit.
Figure 2. Schematic cross-section of the lateral SOI diode used in the diode based PTAT circuit.
Sensors 18 01629 g002
Figure 3. Measured and simulated output voltage of three chips for both PTAT designs at a temperature range of 300 K–500 K. Dots: experimental data; dashed lines: simulation results using the SPICE circuit simulator based on and BSIM4 MOSFET models; solid: circuit output voltage in different process corners (FF and SS).
Figure 3. Measured and simulated output voltage of three chips for both PTAT designs at a temperature range of 300 K–500 K. Dots: experimental data; dashed lines: simulation results using the SPICE circuit simulator based on and BSIM4 MOSFET models; solid: circuit output voltage in different process corners (FF and SS).
Sensors 18 01629 g003
Figure 4. Measurement inaccuracy versus temperature for three different chips in both PTAT designs.
Figure 4. Measurement inaccuracy versus temperature for three different chips in both PTAT designs.
Sensors 18 01629 g004
Figure 5. Simulated time response to a graduate local temperature change (a,c) and to a step change in local temperature (b,d) for both PTAT designs.
Figure 5. Simulated time response to a graduate local temperature change (a,c) and to a step change in local temperature (b,d) for both PTAT designs.
Sensors 18 01629 g005
Figure 6. Schematic of Vt Extractor circuit.
Figure 6. Schematic of Vt Extractor circuit.
Sensors 18 01629 g006
Figure 7. Comparison of the measured and simulate Vt extractor circuit different voltages (a) Vout and Vt (b) measured Vout for three different chips (c) Vin and (d) Vgon. Dots: experimental data; dashed lines: simulation results using the SPICE circuit simulator based on and BSIM4 MOSFET models; solid: circuit voltage in different process corners (FF and SS).
Figure 7. Comparison of the measured and simulate Vt extractor circuit different voltages (a) Vout and Vt (b) measured Vout for three different chips (c) Vin and (d) Vgon. Dots: experimental data; dashed lines: simulation results using the SPICE circuit simulator based on and BSIM4 MOSFET models; solid: circuit voltage in different process corners (FF and SS).
Sensors 18 01629 g007
Figure 8. Measurement inaccuracy versus temperature for three different chips.
Figure 8. Measurement inaccuracy versus temperature for three different chips.
Sensors 18 01629 g008
Figure 9. Simulated time response to a (a) graduate local temperature change and to a (b) step change in local temperature.
Figure 9. Simulated time response to a (a) graduate local temperature change and to a (b) step change in local temperature.
Sensors 18 01629 g009
Table 1. Performance comparison.
Table 1. Performance comparison.
SensorProcessRange (K)Area (mm2)Power (µW)Maximum Sensitivity (mV/K)Linearity (R2)Accuracy (K)Calibration Need
[7]150 nm CMOS SOI150–400--0.70.99-Needed
[9]FD CMOS SOI100–4000.04-−2.2-2Needed
[10]1 µm CMOS SOI300–1053-27−1.22---
[12]32 nm CMOS SOI270–3700.001100--1.95 (3ϭ)Needed
[13]1 µm PD CMOS SOI300–5000.45112.5--2Needed
[24]0.35 µm CMOS250–3500.0550.315 (ppm/C)---
[25]1 µm PD CMOS SOI250–5200.23-27 (ppm/C)-1.8 (%)Needed
[27]0.35 µm CMOS270–4000.0112411.8 (ppm/C)-0.153 (%)-
Resistor based PTAT(This work)1 µm PD CMOS SOI300–5000.00852509.80.99881.5Needed
Diode based PTAT(This work)1 µm PD CMOS SOI300–5000.026454.70.99856.5Needed
Vt Extractor Circuit(This work)1 µm PD CMOS SOI300–5000.00827.52.60.9991.5Not Needed

Share and Cite

MDPI and ACS Style

Malits, M.; Brouk, I.; Nemirovsky, Y. Study of CMOS-SOI Integrated Temperature Sensing Circuits for On-Chip Temperature Monitoring. Sensors 2018, 18, 1629. https://doi.org/10.3390/s18051629

AMA Style

Malits M, Brouk I, Nemirovsky Y. Study of CMOS-SOI Integrated Temperature Sensing Circuits for On-Chip Temperature Monitoring. Sensors. 2018; 18(5):1629. https://doi.org/10.3390/s18051629

Chicago/Turabian Style

Malits, Maria, Igor Brouk, and Yael Nemirovsky. 2018. "Study of CMOS-SOI Integrated Temperature Sensing Circuits for On-Chip Temperature Monitoring" Sensors 18, no. 5: 1629. https://doi.org/10.3390/s18051629

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop