Next Article in Journal
Quantification of DNA by a Thermal-Durable Biosensor Modified with Conductive Poly(3,4-ethylenedioxythiophene)
Previous Article in Journal
Geodetic and Remote-Sensing Sensors for Dam Deformation Monitoring
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Analysis and Design of a CMOS Ultra-High-Speed Burst Mode Imager with In-Situ Storage Topology Featuring In-Pixel CDS Amplification

1
Imec, 3001 Heverlee, Belgium
2
Department of Electronics and informatics (ETRO), Vrije Universiteit Brussel, 1050 Brussels, Belgium
*
Author to whom correspondence should be addressed.
Sensors 2018, 18(11), 3683; https://doi.org/10.3390/s18113683
Submission received: 23 September 2018 / Revised: 20 October 2018 / Accepted: 26 October 2018 / Published: 30 October 2018
(This article belongs to the Section Physical Sensors)

Abstract

:
This paper presents an in-situ storage topology for ultra-high-speed burst mode imagers, enabling low noise operation while keeping a high frame depth. The proposed pixel architecture contains a 4T pinned photodiode, a correlated double sampling (CDS) amplification stage, and an in-situ memory bank. Focusing on the sampling noise, the system level trade-off of the proposed pixel architecture is discussed, showing its advantages on the noise, power, and scaling capability. Integrated with an AC coupling CDS stage, the amplification is obtained by exploiting the strong capacitance to the voltage relation of a single NMOS transistor. A comprehensive noise model is developed for optimizing the trade-off between the area and noise. As a proof-of-concept, a prototype imager with a 30 µm pixel pitch was fabricated in a CMOS 130 nm technology. A 108-cell memory bank is implemented allowing dense layout and parallel readout. Two types of CDS amplification stages were investigated. Despite the limited memory capacitance of 10 fF/cell, the photon transfer curves of both pixel types were measured over different operation speeds up to 20 Mfps showing a noise performance of 8.4 e.

1. Introduction

Ultra-high-speed imaging has been proven to be a very useful observation technique for applications where the analysis of fast transient events is required, such as material study and testing, failure dynamics, combustion research, and medical research [1,2,3,4]. The first commercial high-speed imager, Kodak Ektapro EM, provided the capability of capturing frames of 240 × 192 pixels at a speed of 2000 frames per second in 1989 [5]. Nowadays, thousand-frames-per-second (kfps) video imagers are widely used for broadcast and even show up in consumer products, such as cell phones [6]. Therefore, mega-frames-per-second (Mfps) and beyond has become a new playground for researchers. For Mfps imagers with resolutions of 1 megapixel or higher, the practical speed limitation is the off-chip data throughput which makes the continuous readout infeasible.
To solve the readout throughput bottleneck due to the high frame rate and high spatial resolution, the rotating mirror imagers [7] were developed achieving high frame rates up to 25 Mfps. These imagers redirect the time series of light information (frames) into multiple cameras. As a more integrated solution, streak cameras first convert the incident light into electrons, and different time stamped information is then separated by applying an electric field [8]. Meanwhile, the encoding and storing of time information in the spectral domain was also investigated in order to relax the speed on the imagers [9]. Furthermore, by exploiting the sparsity in the images, compressive sensing was applied, demonstrating that high speed images can be measured and reconstructed with the help of multiple apertures without using expensive optics [10]. More techniques such as holography and FRAME imaging have been exploited to capture high speed phenomena [11,12].
All those ultra-high-speed imaging techniques are only indirectly solving the problem of slow imager sensors at the cost of expensive optical setups or heavy post-processing. In the domain of Mfps, burst mode imagers appear to be a more straightforward solution. In burst mode operation, a large amount of image data (frames) is captured at high speed, stored on the chip temporarily, and read out at feasible speeds afterwards. The number of frames stored in a single burst readout is referred as “frame depth.” Together with the operation speed and the noise performance, they are the most important specifications for burst mode imagers. Since the existing photosensitivity devices can already reach nanosecond speed [5], to further improve the frame rate and high frame depth, more work needs be done in the readout and storage circuits.
As the on-chip memories are mainly implemented in the analog domain, burst mode imagers can be categorized into CCD (charge domain) or CMOS (voltage domain) type, based on the implementation of the frame storage. Note that, in the scope of this paper, the term “CCD” is not linked to the CCD manufacturing process but to the fact that the storage units are operated in a traditional CCD manner. The collected photogenerated charges are stored and manipulated with potential pockets created by gate voltages. With a dedicated CCD process, two 16 Mfps imagers have been demonstrated with frame depths of 117 and 139 each [13,14]. Furthermore, a 180-frame-depth 5 Mfps CCD type imager was developed in a CMOS-compatible process with 800 µV noise [15]. However, CCD devices are typically power hungry due to the large switching power for charging and discharging the high capacitance of the CCD gates [16]. CCD imagers typically require high driving voltages, which leads to high power consumption. Since the switching power is proportional to the frequency, it is more difficult to achieve high operation speeds.
As an alternative, CMOS-type burst mode imagers first convert photogenerated charges into voltages and then store the voltage information in analog capacitors. As generic benefits from CMOS technology, the high integration capability allows many more circuits such as ADCs or other signal processing units to be integrated on chip, reducing the overall power of the imager system as well as the cost. Moreover, CIS (CMOS Image Sensor) technology has a dominant market share against their CCD competitors. As a mainstream technology, it can certainly provide a higher availability and accessibility. CMOS-type storage has several unique merits over CCD type storage. First, only very few switches need to be toggled for every storage in the voltage domain, while for storage in the charge domain all charge on the same storage chain always needs to be shifted. Thanks to the lower switching power consumption, the total power consumption is lower compared to the CCDs. Secondly, the CMOS supply voltage is easier to be optimized or reduced according to the actual signal swing, whereas CCD gate driving voltages are constrained by the voltage barrier requirements [17]. Thirdly, CMOS imagers can have region of interest (ROI) readout and random access of the pixels, while CCDs do not allow it. Using CMOS technology, researchers have successfully explored CMOS burst mode imagers with in-pixel memory [18,19,20]. Meanwhile, the external memory bank architecture is also demonstrated in a 20 Mfps 400 × 256 pixels imager [21]. As an improved version, the frame depth is further improved to 480 frames with customized 3D trenched memory cells [22]. Together with 3D stacking potential, we believe that CMOS technology is a promising technology for large format burst mode imagers, and this is the focus of this paper.
The main design challenge for burst mode imagers is to reach high frame depth, but that should not be achieved at the cost of high sampling noise. First, floating diffusion (FD) reset operation generates reset noise, which can be eliminated with correlated double sampling (CDS). To realize CDS, typically both the reset signal and video signal are stored and then subtracted from each other. Unlike charge domain storage, CMOS burst mode imagers suffer from the fact that the reset operation is done at the beginning of the signal chain during each acquisition, which demands a fast reset operation to be implemented for every pixel at the shutter speed. Even worse, as every pixel has multiple frames to store, conventional CDS implementation becomes extremely expensive in terms of area for CMOS burst mode imagers. Secondly, the kTC noise from the memory storage is another obstacle hindering the increase of the frame depth. Since the voltage domain memory storage will introduce additional sampling kTC noise, directly shrinking the memory cell is not a sustainable solution. Additionally, due to the fixed overhead from the required switches, the effective capacitance per memory cell will decrease with the increasing number of memory cells. Eventually, it sets a practical limit on the minimum dimension of the memory cell and the frame depth.
To solve the trade-off between high frame depth and low noise operation, based on the in-situ storage topology, we demonstrate a novel pixel architecture in this paper featuring in-pixel CDS amplification. The paper is organized as follows. In Section 2, the high-level structure of the proposed architecture is presented. System level trade-offs are discussed for each block. In Section 3, the circuit implementation and its operation are described in detail along with a comprehensive noise model, quantitively showing the noise improvement. Section 4 explains the design and layout of the test chip. The measurement setup and results are also discussed. Finally, the summary of the specifications and conclusions can be found in the Section 5.

2. Pixel Architecture

As mentioned, CMOS burst mode imagers suffer from heavy kTC noises from various sources and increasing the frame depth will lead to the deterioration of the noise performance. To improve the trade-off with a new design freedom, based on the in-situ storage topology, we propose a novel pixel architecture as shown in Figure 1. It consists of three basic parts: a photosensitive structure, referred as the pixel core based on the 4T Pinned Photodiode (PPD), a CDS amplification stage, and a local memory bank.
Because the memory bank requires the highest area budget, its placement has the most significant impact on the pixel architecture. First of all, although the external storage topology allows dedicated optimizations for the pixel core and the memory bank, such as better shielding, improved QE or fill factor [21], the in-situ storage topology is preferred here because it is better suited for a larger image format (e.g., 1 Mega pixels) based on the following reasons:
  • Low power consumption. External storage requires long signal lines running from the pixels over the pixel array to the memory at high speeds. As a result, a high capacitive load needs to be driven at high speed from each pixel, which is very challenging and power hungry. Moreover, this lengthy line is more likely shared among several pixels due to layout constraints. Consequently, the signal line must be multiplexed at even faster speed, thus making the speed problem even more critical.
  • Better area efficiency. For the high-speed applications, due to the short integration time (e.g., a few nanoseconds), limited by the light source, a larger pixel pitch is typically required for sufficient photon collection. Implementing the memory bank inside the pixel will maximize the utilization of the empty space, which is beneficial for reducing the total imager size.
Obviously, the major disadvantages for in-situ storage topology are the low fill factor and low QE. Fortunately, these negative impacts can be largely attenuated using the backside illuminated (BSI) technology [5,23]. The typical CMOS memory bank can consist of multiple analog memory cells as well as the peripheral switches for the row and column addressing.
The next important block is the photosensitive device and its peripherals. Like a conventional 4T pixel, the pixel core contains a PPD, a transfer gate, a reset transistor as well as a source follower (SF) M1 with an in-pixel current source for global shutter operation. The current source is made switchable allowing low power operation during the long readout time from the memory bank. Although the design of fast PPDs is outside the scope of this paper, the speed of photosensitive device is not necessarily the bottleneck of the system. The charge collection and transfer time has been reported in the range of a few nanoseconds [5,24,25]. The PPD is favored here primarily due to its low FD node capacitance, leading to high conversion gain (CG). The high CG can improve the sensitivity in the short integration time scenario, especially under low light condition. Moreover, in terms of input referred noise reduction, it is most effective to place the gain at the beginning of the signal chain.
However, there is no free lunch. Since the FD node is sized for high CG by making its capacitance small, the corresponding FD node reset noise is also increased, which dominates the total noise if no measures are taken. For instance, with a typical CG gain of 100 µV/e, the FD node reset noise alone contributes more than 10 e input referred noise. Therefore, CDS is essential to reach low noise, e.g., less than 10 e. Unfortunately, as already discussed, it is very area expensive to implement the conventional CDS in CMOS burst mode imagers.
To address this problem, we use in-pixel AC-coupled CDS [21,22,26]. An auto-zeroing capacitor C CDS is inserted after the pixel SF M1, which serves as a high pass filter to remove the reset voltage at the FD together with the reset kTC noise. Because the in-pixel CDS is done prior to the storage operation, only one memory element per frame is needed versus two in the conventional CDS case. Assuming that the reset noise is completely canceled by the CDS operation, the total pixel noise will be mainly limited by the kTC noises from the sampling operation at the CDS and the memory storage, which can be modeled as
V n , In pixel   CDS 2 = k T α   C TOT + k T ( 1 α )   C TOT / N ,
where k is Boltzmann’s constant, T is the absolute temperature, C TOT is the total capacitance budget per pixel for a fixed pixel pitch, N is the frame depth, and finally α   C TOT = C CDS accounts for the overhead of C CDS implementation. Therefore, the first term on the right-hand side of the equation represents the kTC noise from the CDS operation when sampling the reset signal. Since each memory cell has a capacitance of ( 1 α )   C TOT / N , the second one reflects the sampling noise due to the signal storage after the CDS.
As a comparison, the total pixel noise of the conventional CDS case is composed of the kTC noise from both reset signal and video signal sampling ( 2 N memory cells are need). When the capacitance of the reset signal capacitor is equal to that of the video signal capacitor, the optimal noise can be achieved as
V n ,   Conv   CDS 2 = k T C TOT / ( 2 N ) + k T C TOT / ( 2 N ) .
Based on Equations (1) and (2), the output noise power ratio between in-pixel CDS and the conventional CDS, r a t i o = V n , In pixel   CDS 2 / V n , Conv   CDS 2 , can be evaluated versus the frame depth ( N ) and CDS overhead ( α ). The result is presented in Figure 2. As expected, when the CDS capacitance dominates ( α close to 1), the noise performance is worse than that in the conventional case. Meanwhile, if the frame depth is too small, it is not worthy to use in-pixel CDS in terms of noise as it becomes a major noise limiting factor. Fortunately, for burst mode imagers where large N is required, we can exploit the quadratic relationship between the kTC noise and the corresponding sampling capacitance.
When FD node reset noise is attenuated by the in-pixel CDS technique, the sampling kTC noise from the memory bank can become the new dominant noise source. To cope against it, we propose implementing an additional in-pixel gain stage prior to the signal storage. As a typical measure to improve the input referred noise performance, the added gain will suppress not only the sampling noise from the memory bank but also that from following blocks. Moreover, higher gain also improves the original small signal swing [22], which is inherited from low light operation and short integration time. Especially for burst mode imagers, there are more buffering stages required due to the memory bank. Since it is difficult to implement the buffers as unity gain buffers inside the pixel, the extra amplification on the readout chain is desirable to compensate the gain loss. Another important benefit is that the leakage from the memory node is less pronounced, since the signal has been amplified in the voltage domain before storing it. Thus, the parasitic light sensitivity (PLS) due to a small capacitance can be improved.
However, limited by signal swing the readout circuitry can handle, it is not always feasible to have a large gain. To visualize the effectiveness of the noise reduction, the relationship between the input referred sampling noise of the memory bank and the amplification gain is investigated as shown in Figure 3. Since the input referred memory sampling noise power is reduced by the square of the amplifier gain, the amount of noise reduction quickly drops for large gains. Thus, considering the 1-volt signal swing, a gain of 3 is found to be a good balance between the noise and signal swing. Moreover, due to the inversely proportional relationship between the kTC noise and the memory capacitance, the noise performance can only be significantly improved at a dramatic cost in area. It implies an important trade-off between the memory bank and the amplifier that instead of enlarging the memories, introducing an amplifier is proved to be a more efficient way to reduce the input referred noise.
For these above reasons, the in-situ storage with in-pixel CDS amplification topology is believed to be a good match for burst mode imagers. However, implementing an amplifier inside the pixel is very challenging. One of the main limitation factors is the available area. Although the pixel pitch is relatively large (up to a few tens of micro-meters), considering the CDS structure and the large memory bank, there is little room left for implementing the pixel-level amplification. Secondly, the sharing techniques such as time-interleaving are not feasible for ultra-high-speed global shutter imagers. Due to the time constraints, each pixel must have its own dedicated amplifier, so the power also becomes a major concern. Lastly, because the N-type well may attract the photogenerated charges PMOS devices are avoided inside the pixel. Thus, we have to design the circuit using only NMOS devices.
Research has already been done on pixel-level amplification. First, the gain can be implemented as high conversion gain at the photosensitive devices [27,28,29,30]. These solutions are very area- and power-efficient, but it is very difficult to manipulate the conversion gain as it is limited by the FD parasitics. Additionally, those approaches typically require dedicated pixel design with complex technology processing. Secondly, pixel level circuit implementations for increasing the gain have been presented in [31,32,33,34,35]. Multiple stage amplifiers require large space and significant power and in the common-source open-loop amplifier gain is difficult to control. When implemented as an array, it is difficult to achieve a good gain pixel uniformity across the pixel array. Therefore, to tackle those problems, a single NMOS-only passive amplifier is chosen for this implementation. As a proof of concept, a prototype imager was developed, which will be discussed in detail in Section 3.

3. Prototype Implementation

Based on the proposed architecture, given a 30 µm pixel pitch, a prototype imager was developed targeting the recording of 108 frames at 20 Mfps with less than 10 e noise. In the test imager, two types of pixel variations were implemented. They share the same pixel core and the same memory bank but are equipped with two flavors of CDS amplification stages (referred to as Type A and Type B). Starting from common blocks, the detailed design of both variations will be described, and corresponding analytical noise models are then developed for design optimization.
The pixel schematic of Type A is shown in Figure 4. It consists of a 4T pixel core, a memory bank, and a CDS amplification stage in between. As mentioned, the 4T pixel core is preferred due to its high conversion gain for the low noise operation. A conversion gain of 100 µV/e at FD node is targeted in the design. To ensure an efficient charge collection and complete transfer at high speed, this pixel core requires a great deal of design effort and engineering [24], which is however outside the scope of this paper. In the test imager, the 4T pixel core used was not optimized for speed.

3.1. In-Situ Memory Bank with the Parallel Readout and Memory Reset

Both Type A and Type B pixels share the same in-situ memory bank consisting of 9 rows of 12 columns of memory cells as shown in Figure 4. Each memory cell contains only one switch and one NMOS capacitor to maximize the capacitance density. Limited by the physical design rules, reducing the memory cell size is not very effective in increasing the frame depth. In our current design, the switches are already comparable in size to the memory cells. Further shrinking the memory cell will not efficiently increase the frame depth but largely jeopardize the noise performance. Therefore, taking the parasitic capacitances into account, 10 fF/cell is chosen.
Memory cells are arranged in 9 rows and 12 columns inside the 30 µm pitch pixel to create a memory array. The column SF MCOL is shared among memory cells for each column, allowing the 12-column parallel readout. The reuse of MCOL introduces unwanted charge sharing among MCOL, the parasitics, and the memory capacitor. Fortunately, this gain loss can be compensated by the extra amplification, showing the superiority of the proposed topology. Additionally, the in-situ configuration minimizes the parasitics of the potential column line, which is again beneficial for maintaining the gain. To prevent the imager lag from the previously read memory cell due to the same charge sharing, the switch MemRst is added to reset the gate of MCOL before reading the next memory cell.
The 9 × 12 memory bank is operated as follows. During the writing phase, the memory cells are filled up first along the columns within the current row, and this is then repeated for the all the columns in the next row until all memory cells are written. During the readout, the switches MemRst and COL are first ON to reset the gate of the column SF MCOL and later the corresponding ROW signal is turned ON, triggering the parallel readout of the memory cells from the same row.

3.2. CDS Amplification

The control signals’ timing is the same for Type A and Type B. The detailed operation of the in-pixel CDS amplification is presented in Figure 5. The CDS operation begins with resetting the FD node via RST transistor MRST. At the same time, the other side of C CDS is set to V PRE by keeping the switch R ON. During R is ON, the switches S and INV are turned ON resetting C S . Secondly, the reset tracking phase is initialized when RST goes OFF and ends when R is turned OFF after the clock feedthrough settles. Thus, the reset voltage including the kTC noise V n ,   FD is buffered via M1 and sampled over C CDS against the clamping voltage V PRE .
This reset tracking phase is very important and the most time critical for the AC coupling CDS as a high load C CDS must be driven by the small pixel SF M1, which requires a careful design balance between the noise and power. Otherwise, if the reset is not sampled properly, it will leave some reset noise residue at the output.
When the transfer TX gate closes, the photon charge is converted into a voltage at the FD node, any further FD node voltage drop V SIG RST will then pass through C CDS as an AC coupled signal. Finally, the CDS operation is completed when the switch S is OFF. As a result, the single-ended CDS voltage of V PRE A PIX , SF · A CDS · V SIG RST is sampled over C S with respect to the ground, where A PIX , SF represents the pixel SF stage gain, and A CDS represents the gain of the CDS stage. At complete settling, there is no presence of V n , FD in the CDS signal.
The CDS operation comes with a gain loss, since C CDS and C S form a capacitive voltage divider, which has the gain A CDS = C CDS / ( C CDS + C S ) . To make this CDS useful, C CDS should be much greater than C S to avoid excessive gain loss. Both C CDS and C S are implemented as MOSFET capacitors to maximize the capacitance density. Additionally, due to the high reset voltage level required by the PPD, C CDS is implemented as a depletion mode NMOS transistor, which can stay in the inversion mode even with a small gate-source voltage allowing a stable capacitance.
Once the CDS signal is sampled on C S , the FD node can start resetting for the next frame in a pipeline fashion. A key aspect of the pixel circuitry presented here is that it provides amplification of the CDS signal before storage in the capacitor array, so the noise caused by the small memory capacitance can be attenuated. Within the limitations of the CIS technology, the passive single transistor amplifier is chosen [36], consisting of only one MOSFET capacitor C S and two switches INV and INVB. Applying non-overlapping complementary signals on INV and INVB, the voltage gain is obtained by toggling the shorted source and drain voltage of C S from ground to a specific DC voltage V DEP . The gain is yielded by taking advantage of the intrinsic capacitance–voltage (CV) characteristic of the MOS transistor: when the transistor is biased in the inversion region, the capacitance value of C S , INV can be several times greater compared to the capacitance C S , DEP in the depletion region. By forcing the transistor moving from inversion mode into depletion mode, because of the charge conservation on C S , a voltage gain A AMP of C S , INV / C S , DEP can be realized. Finally, the amplified CDS signal is stored in the memory cell via the memory SF M3.
The single transistor amplification allows for a low noise linear operation, since it does not add any noise to the sampled charge in principle [36]. It is measured showing less than 3% non-linearity at 500 mV input swing [37]. Meanwhile, the NMOS-only structure avoids the use of PMOS fitting with the pixel concept and the existing CDS scheme at a small area cost without excessive controls. Additionally, the amplification alone does not require DC biasing current, which reduces power consumption and hence less generated heat in the pixel. Due to the presence of the parasitic capacitance Cp, only a gain of about 3 can be obtained in practice. It is still sufficient for the noise reduction as discussed earlier in Section 2.

3.3. CDS Amplification with Additional CDS SF Stage (Type B)

In Type A, C CDS must be sized multiple times greater than C S , which brings several drawbacks. First, for a fixed A CDS , C S is not allowed to be sized larger independently for better noise performance, as C CDS must follow. Secondly, it is not practical to improve the gain beyond 0.8, as a much greater C CDS (more than 4 times) is needed. Thirdly, a high C CDS degrades the transient performance, since a longer tracking time is required for the pixel SF. Based on Type A, Type B is proposed as highlighted in Figure 6. An extra CDS SF stage M5 is inserted between C CDS and C S , which can avoid the capacitive division between them.
The additional buffer between C CDS and C S allows independent optimization for each of them, which brings several benefits. First, the new CDS stage gain is mainly defined by the CDS SF gain, which is typically slightly lower than 1 due to the body effect. Without sacrificing the gain, C CDS is free to be sized smaller in favor of faster settling. Secondly, a higher C S can be used, leading to lower sampling noise. Additionally, the passive amplification gain is improved because the impact of parasitic capacitance is less pronounced. Although an additional CDS SF stage is added in Type B, to ensure a fair comparison, both pixels are designed with the same total power budget by setting different SF biasing currents. Consequently, the current sources are tailored to adapt the changes.

3.4. Noise Analysis of the Proposed Pixels

To quantitatively evaluate the total noise performance, the noise models for Type A and Type B are presented in this section. Given the targeted operation frequency of 20 MHz, only the thermal noise sources are taken into account in the noise analysis, as thermal noise is assumed to be the dominant noise source at this bandwidth [21].

3.4.1. Noise Model on the Type A Pixel

Based on the pixel operation flow, the noise block diagram is presented in Figure 7. The noise contributors can be separated into four stages: the pixel core, the CDS amplifier, the memory bank, and the column readout. Those noises can be sorted into two categories: the kTC noise due to the sampling switches as the sampling noise and the noise from the SF stages as the SF direct noise. Since they are uncorrelated noise sources, the total noise pixel noise can be considered as the sum of these two types of noises from different stages. Assuming these noises are stationary, each of the noise components will be modeled by integrating the noise power spectral density (PSD) [38].
Taking Type A as example, the first noise contributor is the FD node reset noise. The reset noise can be modeled as k T / C FD , where C FD represents the total capacitance associated with the FD node. When this noise is fully settled, it can be canceled completely with the in-pixel CDS technique. However, because the pixel SF M1 is sized very small for high CG, during the short tracking phase, it is difficult to achieve perfect settling on the large RST switching transient (typically a few hundred millivolts). As a result, a small amount of the reset noise V n , FD may remain in the output. Simplifying the pixel SF stage as a single pole system, the input referred noise residue can be characterized as
V i n , kTC   RES 2 = k T C FD e 2 T TR ( g m 1 + g Load ) C CDS
where T TR is the tracking phase time, g m 1 is the transconductance of M1, and g Load is the output load of the pixel SF stage. It suggests that a longer tracking time, a high g m 1 , or a smaller C CDS can improve the CDS efficiency.
Secondly, when S is OFF, the pixel SF noise is directly sampled on C S . Its corresponding small signal model is shown in Figure 8. The capacitance C gs 1 is the M1 gate-to-source capacitance. The I n , m 1 and I n , m 2 are thermal current noise sources from the transistor M1 and M2, respectively, which can be modeled as I n , m 1 2 = 4 k T γ g m 1 and I n , m 2 2 = 4 k T γ g m 2 , where γ is the transistor’s thermal noise coefficient and g m 2 is the transconductance of M2. Thus, the FD node input referred noise spectrum from these noise sources can be calculated as
V in , PIX   SF 2 ( s ) = 4 k T γ ( g m 1 + g m 2 ) ( C gs 1 + C FD ) 2 A PIX , SF 2 ( C gs 1 g Load + C FD g m 1 + C FD g Load + C eq g gs 1 s + C eq C FD s + C gs 1 C FD s ) 2
where C eq is the equivalent the capacitance of C CDS and C S in series. By integrating the noise spectrum over the full bandwidth, as C FD and C gs 1 are much smaller, the temporal pixel SF input referred noise can be calculated and further simplified as
V in , PIX   SF 2 =   k T γ ( g m 1 + g m 2 ) ( C gs 1 + C FD ) A PIX , SF 2 A CDS C S ( g m 1 + g Load ) C FD .
Here C eq is replaced with A CDS C S for better insight. Intuitively, increasing A CDS or enlarging the size of C S can reduce the temporal noise, since the thermal noise is limited by the circuit bandwidth.
The CDS operation will introduce additional kTC noise, when the reset signal and CDS signal are sampled. The sum of these two can be modeled as
V in , CDS   RST 2 + V in , CDS   SAMP 2 = k T A PIX , SF 2 ( C CDS + C S C CDS 2 + ( C CDS + C S ) 2 C CDS 2 C S ) .
Compared to kTC noises generated at the FD node and memories, the CDS noise can be made much smaller by making C CDS greater. Given a total area budget of C CDS + C S , the optimal C CDS budget ratio between those can be found mathematically by minimizing Equation (6), which shares the same expression as the A CDS :
Optimal   A CDS = C CDS C CDS + C S = 7 17 4 0.72.
The noises in the memory bank include the memory sampling, the memory SF M3 and the memory column reset operation, which can be similarly calculated accordingly as
V in , MEM 2 + V in , MEM   SF 2 + V in , MEM   RST 2 = 1 A PIX , SF 2 A AMP 2 A MEM , SF 2 A CDS 2 k T C MEM + k T γ ( g m 3 + g m 4 ) ( g m 3 + g Load   MEM ) ( C MEM + C p ) + C P k T C MEM 2
where A MEM , SF is the memory SF gain, g m 3 and g m 4 are the transconductances of the corresponding transistors, g LoadMEM is the intrinsic output load of the memory SF, and C P is the parasitic capacitance at the gate of M3. Note that, for simplicity, the gate-to-source capacitance and the gate-to-drain capacitance of M3 are neglected as they are much smaller compared to C MEM . As expected, the signal gain prior to the memory bank has a significant impact on the input referred noise stemming from the memory bank. Without the additional amplifier gain A AMP , the noise from the memory storage can easily become dominant. The balance between the noise from memory bank and the noise from added CDS amplification is the key trade-off in our pixel architecture.
The last noise contributor is the column SF. Benefiting from the low operation speed and pico-farad sampling capacitors, its direct noise is negligible compared to the rest. Therefore, the total input referred noise for Type A pixel can be approximated based on the above equations.

3.4.2. Noise Model on the Type B Pixel

A similar noise model is developed for Type B. Compared to Type A, the major difference is the CDS operation noise due to the extra SF stage. With the additional CDS SF, including M5 and M6, the new sampling noise from the Type B can be modeled as
V in , CDS   RST 2 + V in , CDS   SAMP 2 = k T A PIX , SF 2 ( 1 C CDS + 1 A CDS , SF 2 C S )
where A CDS , SF is the gain of CDS SF. Assuming a typical SF gain A CDS , SF is 0.9, the optimal theoretical C CDS budget ratio for the Type B can be found as 0.47 by minimizing Equation (9). It confirms that, while maintaining a similar CDS stage gain, C CDS can be sized smaller, leading to a better settling. Benefiting from it, the current of the pixel SF stage can be adjusted so that Type B pixel can still have the same power consumption even with the extra CDS SF. Of course, it comes at the cost that the CDS SF brings additional direct noise that can be modeled as
V in , CDS   SF 2 = 1 A PIX , SF 2 A CDS , SF 2 ( k T γ ( g m 5 + g m 6 ) ( g m 5 + g LoadCDS ) C S )
where g m 5 and g m 6 are the transconductances of the corresponding transistors and g LoadCDS is the intrinsic output load of the CDS SF. Similarly, the gate-to-source capacitance and the gate-to-drain capacitance of M5 are neglected. As C S can be sized independently from C CDS , its added noise can be easier to control.

3.4.3. Noise Analysis and Comparison

The noise model can provide valuable insights on the design constraints for further optimizations. As discussed, the capacitances C CDS and C S are very important for the noise and speed. When the total available CDS area is too small, the kTC noise from the CDS operation will dominate the overall noise; when it is too large, the transient kTC residue noise becomes more influential as well. Therefore, there is an optimal value for C CDS and C S . Using the noise models and simulation parameters the optimal C CDS and C S sizes can be found for both types as shown in Figure 9. To eliminate the kTC residue noise, 15 ns of reset tracking time is chosen. Thus, in both types, the CDS operation contributes more noise compared to the reset noise residue. Limited by the available area and considering that more blocks can benefit from a higher gain for Type A, 200 fF and 50 fF capacitors were finally chosen for C CDS and C S , respectively. On the right figure, Type B shows a better noise performance at the same area budget. Due to the overhead of the CDS SF, parasitics of the CDS SF and the layout constraints, 100 fF and 75 fF were implemented for C CDS and C S , respectively.
Including the noise from the rest of the readout blocks of our test system, the total calculated input referred noise power break-down for the two pixel types is presented in Figure 10. Both types are designed with the same total area and power consumption. With the help of in-pixel amplification, the analytical total input referred noise of Type A is found to be 8.2 e, while it is 6.9 e in Type B. The kTC residue noise is negligible for both cases which is consistent with Figure 9. As a reference, the 10 fF memory cell alone can generate kTC noise of 630 µV. In the case of no in-pixel amplification, even with a unity gain buffer, the input referred noise of memory kTC is already 6.3 e. Compared to it, both Type A and Type B show a significant improvement on the memory sampling noise, as the noise from the memory bank only contributes 17% and 15% of the total noise, respectively. It also confirms that, since the memory and the column SF are no longer the major noise contributors, higher amplification gain is indeed marginal in improving the noise.
Compared to Type A, Type B shows an overall better noise performance and the noise is more widely distributed over different noise components. The top contribution of CDS sampling is reduced from 30 to 18% thanks to a greater C S and a higher amplification gain. Considering the initial overhead of implementing the additional CDS SF, Type B is preferred to be implemented for larger pixels.

4. Measurement Results and Discussion

The proposed pixel concept is designed and fabricated as two 32 × 84 pixel arrays (one for each type) in imec’s 130 nm CMOS CIS technology. As an example, the complete layout of the Type A pixel is shown in the left side of Figure 11. The pixel core occupies an area of 5 × 5 µm2, which is kept away from the remaining pixel circuitry for less parasitics and interference. The CDS amplification stage is located next to the pixel core occupying an area of 25 × 5 µm2. The rest of the pixel area is covered by the memory bank and its column SFs.
As shown in the chip microphotograph on the right side of Figure 11, the pixel drivers are located on the left side of the pixel array as well as on the top for driving the memory bank columns. Since the prototype has a small pixel count, the column readout block is not optimized for high repetitive rates in the burst mode readout. When the pixel signals are ready on the column lines, they are sampled in the analog sampling stage. Via the analog mux, eight analog output buffers are used to transfer the signals to off-chip ADCs. The converted digital data is then collected and transferred to the PC by using a custom FPGA board.
The pixel performance is characterized with a homogeneous illumination LED light source. The photon transfer curve (PTC) measurements [39] were evaluated over different frame rates to confirm the viability of both Type A and Type B pixels. To mimic a mechanic shutter and reduce the parasitic light, the LED light source is switched off during the long readout time to prevent an undesired light collection. The PTC is then measured by only varying the LED light intensity with the same integration time. This ensures the true high-speed operation with the shortcoming that our LED light source is not powerful enough to saturate the pixels at very high frame rates.
The PTC measurement results for both pixel types are shown in Figure 12, where the measured output noise has been referred to the FD node and converted to electrons based on its pixel conversion gain. Most of the PTC curves are close to each other over different frequencies. Both 20 Mfps cases are, however, slightly deviating, which may be a result of the non-linearity at such a speed. The total conversion gain was extracted based on the 500 kfps case, in which the pixels were finally saturated. The extracted total input referred noises are 9 e and 8.4 e for Type A and Type B, respectively, including the noise of additional readout blocks (analog samplers, buffers, and off-chip ADCs). The measured input referred noises are higher than these expected, which can be explained by the full chain gain difference between the models and the measurements. Compared to model values, the measured gains are 13% and 16% lower for Type A and Type B, respectively. Given that there are multiple gain stages in the signal chain, a small inaccuracy in each stage can be easily accumulated. As expected, the passive amplification in Type B shows a higher gain, which is extracted as 3.4 compared to 2.9 in Type A. This confirms that the parasitic capacitance plays a key role in the single transistor passive amplification.
A light measurement was also done by using a pulsed LED light source. By delaying the trigger time of the LED light pulse in each burst capture, a series of images at 5 Mfps operation is obtained, which is shown in Figure 13, demonstrating the functionality of the test imager at high speed.
For burst mode imagers, the signals will stay in memory up to a few milliseconds. By sweeping the readout time, based on the memory size, the leakage current on the 10 fF memory cells is measured as 4.6 nA/cm2 referred to the pixel size, measured at ambient temperature of 24 °C without cooling. In another word, it takes more than 160 ms for the leakage/dark current to change the signal for 5 mV, which is still sufficiently long for the slow readout in this prototype.

5. Conclusions

This paper presents a novel pixel architecture for CMOS burst mode ultra-high-speed imagers, which is enabling the low noise operation at a high frame depth. Equipped with a 108-cell memory bank inside the 30 µm pitch pixel, a prototype imager with two flavors of CDS amplification stages is demonstrated. Moreover, analytical noise models are provided and allow for a better design trade-off. By using the NMOS-only in-pixel CDS and amplification, a low noise of 8.4 e is measured with a small 10 fF memory cell, showing a good trade-off between the noise and the frame depth. Table 1 summarizes the test chip performance. For large imager formats (e.g., VGA), due to the high pixel current and the large pixel pitch, IR drop and control signal integrity will become very challenging. Since the 3D technology becomes available, the in-situ memory bank can potentially be integrated on the top of the pixel array, which will be the next milestone for future burst mode imagers.

6. Patents

The resulted work has been granted as US Patent Number 9756270.

Author Contributions

Conceptualization, J.B. and L.W.; Methodology, L.W.; Software, L.W.; Validation, L.W.; Formal Analysis, L.W.; Investigation, L.W.; Resources, J.B. and P.W.; Data Curation, L.W.; Writing—Original Draft Preparation, L.W., D.S.S.B. and J.B.; Writing—Review & Editing, D.S.S.B., J.C., P.C., A.S. and M.R.; Visualization, L.W.; Supervision, J.B., D.S.S.G., P.C. and J.C.; Project Administration, L.W. and J.B.; Funding Acquisition, J.B. and P.W.

Funding

This research received no external funding.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Klein, A.L.; Bouwhuis, W.; Visser, C.W.; Lhuissier, H.; Sun, C.; Snoeijer, J.H.; Villermaux, E.; Lohse, D.; Gelderblom, H. Drop Shaping by Laser-Pulse Impact. Phys. Rev. Appl. 2015, 3, 44018. [Google Scholar] [CrossRef]
  2. Hayashi, J.; Nakatsuka, N.; Morimoto, I.; Akamatsu, F. Time evolution of the high temperature region formed by laser induced breakdown and of the development of the flame kernel in the constant volume combustion vessel. In Proceedings of the 31st International Congress on High-Speed Imaging and Photonics, Osaka, Japan, 7–10 November 2016; Volume 10328, pp. 1032813–1032815. [Google Scholar]
  3. De Cock, I.; Lajoinie, G.; Versluis, M.; De Smedt, S.C.; Lentacker, I. Sonoprinting and the importance of microbubble loading for the ultrasound mediated cellular delivery of nanoparticles. Biomaterials 2016, 83, 294–307. [Google Scholar] [CrossRef] [PubMed]
  4. Goda, K. Extreme Imaging and Beyond. In Progress in Photon Science; Yamanouchi, K., Ed.; Springer: Cham, Switzerland, 2017; pp. 125–131. ISBN 978-3-319-52431-3. [Google Scholar]
  5. Etoh, G.T.; Nguyen, Q.A.; Kamakura, Y.; Shimonomura, K.; Le, Y.T.; Mori, N. The Theoretical Highest Frame Rate of Silicon Image Sensors. Sensors 2017, 17, 483. [Google Scholar] [CrossRef] [PubMed]
  6. Sony Corporation Sony Develops the Industry’s First 3-Layer Stacked CMOS Image Sensor with DRAM for Smartphones. Available online: https://www.sony.net/SonyInfo/News/Press/201702/17-013E/ (accessed on 21 September 2017).
  7. Chin, C.T.; Lancée, C.; Borsboom, J.; Mastik, F.; Frijlink, M.E.; de Jong, N.; Versluis, M.; Lohse, D. Brandaris 128: A digital 25 million frames per second camera with 128 highly sensitive frames. Rev. Sci. Instrum. 2003, 74, 5026–5034. [Google Scholar] [CrossRef]
  8. Velten, A.; Wu, D.; Jarabo, A.; Masia, B.; Barsi, C.; Joshi, C.; Lawson, E.; Bawendi, M.; Gutierrez, D.; Raskar, R. Femto-photography: Capturing and visualizing the propagation of light. ACM Trans. Graph. 2013, 32, 44. [Google Scholar] [CrossRef] [Green Version]
  9. Lei, C.; Guo, B.; Cheng, Z.; Goda, K. Optical time-stretch imaging: Principles and applications. Appl. Phys. Rev. 2016, 3, 11102. [Google Scholar] [CrossRef] [Green Version]
  10. Oike, Y.; Gamal, A. El A 256 × 256 CMOS image sensor with ΔΣ-based single-shot compressed sensing. Dig. Tech. Pap. IEEE Int. Solid-State Circuits Conf. 2012, 55, 386–388. [Google Scholar]
  11. Awatsuji, Y. Ultrafast 3D imaging by holography. Proc. SPIE 2017, 10328. [Google Scholar] [CrossRef]
  12. Dorozynska, K.; Kristensson, E. Implementation of a multiplexed structured illumination method to achieve snapshot multispectral imaging. Opt. Express 2017, 25, 17211–17226. [Google Scholar] [CrossRef] [PubMed]
  13. Etoh, T.G.; Nguyen, D.H.; Dao, S.V.T.; Vo, C.L.; Tanaka, M.; Takehara, K.; Okinaka, T.; Van Kuijk, H.; Klaassens, W.; Bosiers, J.; et al. A 16 Mfps 165kpixel backside-illuminated CCD. Dig. Tech. Pap. IEEE Int. Solid-State Circuits Conf. 2011, 2011, 406–407. [Google Scholar]
  14. Arai, T.; Yonai, J.; Hayashida, T.; Ohtake, H.; van Kuijk, H.; Etoh, T.G. A 252-V/lux·s, 16.7-Million-Frames-Per-Second 312-kpixel Back-Side-Illuminated Ultrahigh-Speed Charge-Coupled Device. IEEE Trans. Electron Dev. 2013, 60, 3450–3458. [Google Scholar] [CrossRef]
  15. Crooks, J.; Marsh, B.; Turchetta, R.; Taylor, K.; Chan, W.; Lahav, A.; Fenigstein, A. Kirana: A solid-state megapixel uCMOS image sensor for ultrahigh speed imaging. In Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV; Society of Photo-Optical Instrumentation Engineers (SPIE): Washington, DC, USA, 2013; Volume 8659. [Google Scholar]
  16. Janesick, J.R. Scientific Charge-Coupled Devices; SPIE Press: Bellingham, WA, USA, 2001; ISBN 9780819436986. [Google Scholar]
  17. Theuwissen, A.J. Solid-State Imaging with Charge-Coupled Devices; Springer: Berlin, Germany, 1995; ISBN 9780792334569. [Google Scholar]
  18. Kleinfelder, S.; Chen, Y.; Kwiatkowski, K.; Shah, A. High-speed CMOS image sensor circuits with in situ frame storage. IEEE Trans. Nucl. Sci. 2004, 51, 1648–1656. [Google Scholar] [CrossRef]
  19. El-Desouki, M.M.; Marinov, O.; Deen, M.J.; Qiyin, F. CMOS active-pixel sensor with in-situ memory for ultrahigh-speed imaging. IEEE Sens. J. 2011, 11, 1375–1379. [Google Scholar] [CrossRef]
  20. Aoki, J.; Takemoto, Y.; Kobayashi, K.; Sakaguchi, N.; Tsukimura, M.; Takazawa, N.; Kato, H.; Kondo, T.; Saito, H.; Gomi, Y.; et al. A rolling-shutter distortion-free 3D stacked image sensor with -160dB parasitic light sensitivity in-pixel storage node. Dig. Tech. Pap. IEEE Int. Solid-State Circuits Conf. 2013, 56, 482–483. [Google Scholar]
  21. Tochigi, Y.; Hanzawa, K.; Kato, Y.; Kuroda, R.; Mutoh, H.; Hirose, R.; Tominaga, H.; Takubo, K.; Kondo, Y.; Sugawa, S. A global-shutter CMOS image sensor with readout speed of 1-tpixel/s burst and 780-mpixel/s continuous. IEEE J. Solid-State Circuits 2013, 48, 329–338. [Google Scholar] [CrossRef]
  22. Suzuki, M.; Suzuki, M.; Kuroda, R.; Kumagai, Y.; Chiba, A.; Miura, N.; Kuriyama, N.; Sugawa, S. An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integration. In Proceedings of the 2016 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 3–7 December 2016; pp. 851–854. [Google Scholar]
  23. Etoh, T.G.; Vo Le, C.; Hashishin, Y.; Otsuka, N.; Takehara, K.; Ohtake, H.; Hayashida, T.; Maruyama, H. Evolution of Ultra-High-Speed CCD Imagers. Plasma Fusion Res. 2007, 2, S1021. [Google Scholar] [CrossRef]
  24. Süss, A.; Wu, L.; Bacq, J.-L.; Spagnolo, A.; Coppejans, P.; Motsnyi, V.; Haspeslagh, L.; Borremans, J.; Rosmeulen, M. A Fully Depleted 52 μm GS CIS Pixel with 6 ns Charge Transfer, 7 e–rms Read Noise, 80 μV/e– CG and >80% VIS-QE. In Proceedings of the 2017 International Image Sensor Workshop, Hiroshima, Japan, 30 May–2 June 2017; pp. 402–405. [Google Scholar]
  25. Etoh, T.G.; Dao, V.T.S.; Shimonomura, K.; Charbon, E.; Zhang, C.; Kamakura, Y.; Matsuoka, T. Toward 1Gfps: Evolution of ultra-high-speed image sensors -ISIS, BSI, multi-collection gates, and 3D-stacking-. In Proceedings of the 2014 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2014; pp. 10.3.1–10.3.4. [Google Scholar]
  26. Merrill, R. Intra-Pixel Reset Noise Cancellation. In Proceedings of the 2001 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors, Lake Tahoe, NV, USA, 7–9 June 2001. [Google Scholar]
  27. Perenzoni, M.; Massari, N.; Perenzoni, D.; Gasparini, L.; Stoppa, D. A 160 × 120 pixel analog-counting single-photon imager with time-gating and self-referenced column-parallel A/D conversion for fluorescence lifetime imaging. IEEE J. Solid-State Circuits 2016, 51, 155–167. [Google Scholar] [CrossRef]
  28. Wakashima, S.; Kusuhara, F.; Kuroda, R.; Sugawa, S. A linear response single exposure CMOS image sensor with 0.5e- readout noise and 76ke- full well capacity. IEEE Symp. VLSI Circuits Dig. Techn. Pap. 2015, 2015, C88–C89. [Google Scholar] [CrossRef]
  29. Boukhayma, A.; Peizerat, A.; Enz, C. A sub-0.5 electron read noise VGA image sensor in a standard CMOS process. IEEE J. Solid-State Circuits 2016, 51, 2180–2191. [Google Scholar] [CrossRef]
  30. Seo, M.W.; Wang, T.; Jun, S.W.; Akahori, T.; Kawahito, S. A 0.44e-rms read-noise 32fps 0.5Mpixel high-sensitivity RG-less-pixel CMOS image sensor using bootstrapping reset. Dig. Tech. Pap. IEEE Int. Solid-State Circuits Conf. 2017, 60, 80–81. [Google Scholar]
  31. Murari, K.; Etienne-Cummings, R.; Thakor, N.V.; Cauwenberghs, G. A CMOS In-Pixel CTIA High-Sensitivity Fluorescence Imager. IEEE Trans. Biomed. Circuits Syst. 2011, 5, 449–458. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  32. Xu, R.; Liu, B.; Yuan, J. A 1500 fps Highly Sensitive 256 ×256 CMOS Imaging Sensor with In-Pixel Calibration. IEEE J. Solid-State Circuits 2012, 47, 1408–1418. [Google Scholar] [CrossRef]
  33. Perenzoni, M.; Massari, N.; Stoppa, D.; Pancheri, L.; Malfatti, M.; Gonzo, L. A 160× 120-Pixels Range Camera With In-Pixel Correlated Double Sampling and Fixed-Pattern Noise Correction. IEEE J. Solid-State Circuits 2011, 46, 1672–1681. [Google Scholar] [CrossRef]
  34. Yamashita, Y.; Takahashi, H.; Kikuchi, S.; Ota, K.; Fujita, M.; Hirayama, S.; Kanou, T.; Hashimoto, S.; Momma, G.; Inoue, S. A 300mm wafer-size CMOS image sensor with in-pixel voltage-gain amplifier and column-level differential readout circuitry. Dig. Tech. Pap. IEEE Int. Solid-State Circuits Conf. 2011, 408–409. [Google Scholar] [CrossRef]
  35. Lotto, C.; Seitz, P.; Baechler, T. A sub-electron readout noise CMOS image sensor with pixel-level open-loop voltage amplification. Dig. Tech. Pap. IEEE Int. Solid-State Circuits Conf. 2011, 402–403. [Google Scholar] [CrossRef]
  36. Ranganathan, S.; Tsividis, Y. Discrete-Time Parametric Amplification Based on a Three-Terminal MOS Varactor: Analysis and Experimental Results. IEEE J. Solid-State Circuits 2003, 38, 2087–2093. [Google Scholar] [CrossRef]
  37. Wu, L.; Bello, D.S.S.; Coppejans, P.; Craninckx, J.; Wambacq, P.; Borremans, J.; San Segundo Bello, D.; Coppejans, P.; Craninckx, J.; Wambacq, P.; et al. A 20 Mfps high frame-depth CMOS burst-mode imager with low power in-pixel NMOS-only passive amplifier. In Proceedings of the 31st International Congress on High-Speed Imaging and Photonics, Osaka, Japan, 7–10 November 2016; Volume 10328, pp. 1032803–1032806. [Google Scholar]
  38. Kawahito, S.; Itoh, S. Noise calculation model and analysis of high-gain readout circuits for CMOS image sensors. In Sensors, Cameras, and Systems for Industrial/Scientific Applications IX; Society of Photo-Optical Instrumentation Engineers (SPIE): Washington, DC, USA, 2008; Volume 6816. [Google Scholar]
  39. Janesick, J.R. Photon Transfer: DN --> λ; Society of Photo-Optical Instrumentation Engineers (SPIE): Bellingham, WA, USA, 2007; ISBN 978-0-8194-6722-5. [Google Scholar]
Figure 1. The proposed pixel architecture for burst mode imagers.
Figure 1. The proposed pixel architecture for burst mode imagers.
Sensors 18 03683 g001
Figure 2. Noise power ratio between the in-pixel correlated double sampling (CDS) case and the conventional case as a comparison. E.g., given α = 0.2, N = 100, the ratio is 0.325 as highlighted, which means there is 67.5% noise reduction in the in-pixel CDS case compared to the conventional case.
Figure 2. Noise power ratio between the in-pixel correlated double sampling (CDS) case and the conventional case as a comparison. E.g., given α = 0.2, N = 100, the ratio is 0.325 as highlighted, which means there is 67.5% noise reduction in the in-pixel CDS case compared to the conventional case.
Sensors 18 03683 g002
Figure 3. The input referred memory sampling noise against the capacitance value w.r.t. the amplification gains varying from 1 to 5.
Figure 3. The input referred memory sampling noise against the capacitance value w.r.t. the amplification gains varying from 1 to 5.
Sensors 18 03683 g003
Figure 4. Pixel schematic (Type A).
Figure 4. Pixel schematic (Type A).
Sensors 18 03683 g004
Figure 5. Pixel operation timing for both Type A and Type B (pipeline version).
Figure 5. Pixel operation timing for both Type A and Type B (pipeline version).
Sensors 18 03683 g005
Figure 6. Pixel schematic (Type B).
Figure 6. Pixel schematic (Type B).
Sensors 18 03683 g006
Figure 7. Noise diagram overview based on pixel operations. In the Type B pixel, there is an additional source follower (SF) direct noise due to the CDS SF.
Figure 7. Noise diagram overview based on pixel operations. In the Type B pixel, there is an additional source follower (SF) direct noise due to the CDS SF.
Sensors 18 03683 g007
Figure 8. Small signal model for pixel SF direct noise.
Figure 8. Small signal model for pixel SF direct noise.
Sensors 18 03683 g008
Figure 9. The input referred noise against sum of C CDS and C S w.r.t to different tracking time (15 ns settling time is targeted for 20 Mfps operation). Left: Type A. Right: Type B. The C CDS and C S are chosen according to the optimal ratio based on Equations (7) and (9).
Figure 9. The input referred noise against sum of C CDS and C S w.r.t to different tracking time (15 ns settling time is targeted for 20 Mfps operation). Left: Type A. Right: Type B. The C CDS and C S are chosen according to the optimal ratio based on Equations (7) and (9).
Sensors 18 03683 g009
Figure 10. The total input referred noise power breakdown for Type A (left) and Type B (right). The input referred photon noise is calculated based on the conversion gain of 100 µV/e.
Figure 10. The total input referred noise power breakdown for Type A (left) and Type B (right). The input referred photon noise is calculated based on the conversion gain of 100 µV/e.
Sensors 18 03683 g010
Figure 11. The Type A pixel layout and the chip microphotograph.
Figure 11. The Type A pixel layout and the chip microphotograph.
Sensors 18 03683 g011
Figure 12. The PTCs for Type A and Type B measured at speeds from 500 kfps to 20 Mfps. Different curves present different operation frequencies. Due to the limited LED power, only the pixels at the 500 kfps case can reach the saturation region.
Figure 12. The PTCs for Type A and Type B measured at speeds from 500 kfps to 20 Mfps. Different curves present different operation frequencies. Due to the limited LED power, only the pixels at the 500 kfps case can reach the saturation region.
Sensors 18 03683 g012
Figure 13. Pulsed light measurement at 5 Mfps. Each light pulse is triggered with a fixed delay of 400 ns following the previous one. The same delay can be confirmed from the sensor measurement.
Figure 13. Pulsed light measurement at 5 Mfps. Each light pulse is triggered with a fixed delay of 400 ns following the previous one. The same delay can be confirmed from the sensor measurement.
Sensors 18 03683 g013
Table 1. Test imager specification summary.
Table 1. Test imager specification summary.
Technology130 nm CMOS CISFull Well Capacity 16 ke
Pixel size30 µmConversion gain105 µV/e
Memory depth108 (10 fF/cell)Burst rate20 Mfps
Array size32 × 84
(for Type A/B)
Power10 µA/pixel @ 3.3 V(for Type A/B)
Dynamic range
(@500 kfps)
56 dB (Type A)
57 dB (Type B)
Read noise9 e (Type A)
8.4 e (Type B)
1 FWC is defined by the maximum saturation level.

Share and Cite

MDPI and ACS Style

Wu, L.; San Segundo Bello, D.; Coppejans, P.; Craninckx, J.; Süss, A.; Rosmeulen, M.; Wambacq, P.; Borremans, J. Analysis and Design of a CMOS Ultra-High-Speed Burst Mode Imager with In-Situ Storage Topology Featuring In-Pixel CDS Amplification. Sensors 2018, 18, 3683. https://doi.org/10.3390/s18113683

AMA Style

Wu L, San Segundo Bello D, Coppejans P, Craninckx J, Süss A, Rosmeulen M, Wambacq P, Borremans J. Analysis and Design of a CMOS Ultra-High-Speed Burst Mode Imager with In-Situ Storage Topology Featuring In-Pixel CDS Amplification. Sensors. 2018; 18(11):3683. https://doi.org/10.3390/s18113683

Chicago/Turabian Style

Wu, Linkun, David San Segundo Bello, Philippe Coppejans, Jan Craninckx, Andreas Süss, Maarten Rosmeulen, Piet Wambacq, and Jonathan Borremans. 2018. "Analysis and Design of a CMOS Ultra-High-Speed Burst Mode Imager with In-Situ Storage Topology Featuring In-Pixel CDS Amplification" Sensors 18, no. 11: 3683. https://doi.org/10.3390/s18113683

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop