Previous Article in Journal
Microelectronics—An Open-Access Journal for Advancing Microelectronics Technologies
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Survey of Machine and Deep Learning Techniques in Analog Integrated Circuit Layout Synthesis

by
Ricardo M. F. Martins
Instituto de Telecomunicações, Instituto Superior Técnico, University of Lisbon, 1049-001 Lisboa, Portugal
Microelectronics 2025, 1(1), 2; https://doi.org/10.3390/microelectronics1010002
Submission received: 26 March 2025 / Revised: 9 June 2025 / Accepted: 30 June 2025 / Published: 1 August 2025

Abstract

Automatic techniques for analog integrated circuit layout design have been proposed in the literature for over four decades. However, as analog design moves into deep nanometer integration nodes, the increasing number of design rules, the influence of layout-dependent effects, congestion, and the impact of parasitic structures constantly challenges existing automatic layout generation techniques and keeps the pressure on for further improvement. At the time of writing, no automatic tool or flow has been established in the industrial environment, resulting in a time-consuming and difficult-to-reuse design process. However, very recently, machine and deep learning techniques started to offer solutions for problems not dealt with in the previous generation of automatic layout tools and are reshaping analog design automation. Therefore, this paper conducts a review of the most recent analog integrated circuit automatic layout techniques powered by machine and deep learning methods, covering placement, routing, and trends on post-layout performance estimation, as well as providing an actual, complete, and comprehensive guide for circuit designers and design automation developers.

1. Introduction

In recent decades, the integrated circuit (IC) industry has experienced remarkable growth, evolving into a multi-billion-dollar sector driven by the surging demand for consumer electronics, which depend heavily on sophisticated systems-on-a-chip (SoCs). While digital signal processing and memory components are essential for the functioning of these SoCs, analog interfaces like wireless communication circuits and sensors are equally critical for enabling interactions with the external world. Although electronic design automation (EDA) tools for digital and memory block design are well-established, the design and layout of analog circuits remain a challenging and error-prone task, with designers relying on traditional computer-aided design (CAD) tools, including schematic editors, simulators, and verification tools, in a repetitive and manual process. Nonetheless, tools and techniques to automate the analog IC design process have been vastly proposed by the analog EDA research community for more than four decades. Still, no automatic tool or flow has been established in the industrial environment, resulting in a time-consuming and difficult-to-reuse design process. However, recent advances in machine and deep learning (M/DL) have opened new opportunities for the automation of the analog IC design flow, where part of the path has already been paved, such as in device, circuit and system-level modeling, automatic synthesis techniques, or even testing [1,2,3,4].
This paper reviews the most recent M/DL efforts applied to automatic analog IC layout generation, which is the task of the analog design flow that both lays the devices out in the chip (i.e., placement), and after, interconnects them (i.e., routing). It is important to note that as analog IC design moves into modern deep nanometer technology nodes, the growing topological requirements, additional process design kit (PDK)’s rules, congestion, and parasitic structures that arise from the physical layout description continuously defy existent EDA tools. In particular, layout parasitic structures and layout-dependent effects (LDEs), if not adequately weighted during layout design, have been proven to drive analog ICs from promising pre-layout performances to complete malfunction. Hence, their real impact can only be known after complete layout extraction and post-layout simulation, as illustrated in Figure 1. Still, this layout design flow suffers from expensive and time-consuming redesign iterations with limited feedback information to correct the problem. Layout generators powered by novel M/DL techniques are opening new perspectives for developing solutions that simultaneously incorporate legacy data or expert design insights in a manner that was not possible in previous generations of EDA tools, and therefore, are covered in this review.
This paper is organized as follows: Section 2 presents the most recent advances in neural network-based and reinforcement learning (RL)-based placement; Section 3 shows the improvements on routers enhanced by routing probability maps generated by M/DL, and also, net ordering and track-assignment techniques; Section 4 covers the preliminary developments on post-layout performance estimation; Section 5 discusses the topics covered in this review; and, Section 6 concludes the paper.

2. Placement

The analog IC placement consists of determining the positions for the devices of a given circuit/system topology. The task is conducted by simultaneously taking many requirements into consideration in order to reduce the unwanted impact of layout parasitic structures, process variations, and different on-die operating conditions. Those requirements traditionally appear in the form of several placement constraints, such as device symmetry, matching, and proximity, which when allied to the multitude of possible device implementations (i.e., different sizes and aspect ratios) make the analog placement task hard to automate. Furthermore, these constraints must be strictly satisfied while attempting to minimize several objectives, such as chip area or interconnect length estimates, as most of the parasitic effects and consequent performance degradation of the circuit post-layout, as well as the attainable routing quality, are set once a placement solution is fixed. For these reasons, automatic analog placement has been an intensive research topic in the research community [5,6].

2.1. A Summary of Traditional Automatic Approaches

Traditional approaches for automating analog IC placement can be categorized into three main types: (1) constrained optimization, where an optimization method, typically using simulated annealing (SA), is applied to adjust the positions of the devices either explicitly or implicitly, with the goal of minimizing specific performance metrics. In the explicit approach, where absolute coordinates are used, each device is defined by its position on a plane without a grid [7,8,9]. This method can represent all possible placements and is the most practical for enforcing topological constraints, as these can be directly implemented using the device coordinates. However, the algorithm must search through both feasible and infeasible solutions, since overlaps between devices may occur. In the implicit approach, where relative positions are employed, the optimizer modifies a structure that represents the layout, and a packing procedure then converts this relative representation into a complete floorplan while preventing overlaps and ensuring that the necessary topological constraints are satisfied. In this case, the search space is restricted to feasible solutions only. However, implementing topological constraints can be more challenging, as each time the optimizer alters the structure, it must re-evaluate and adjust the layout to address any violations or fixes needed. These discrete operations complicate the original simplicity of relative representations. Still, notable implementations can be found for the: ordered tree (O-tree) [10], binary tree (B*-tree) [11,12,13], transitive closure graph (TCG) [14,15], hierarchical B*-tree [16], QB-Tree [17], and sequence-pair (SP) [18,19,20,21,22]. Both the explicit and implicit methods do not take into account any previously created layouts, meaning they do not utilize any legacy data. (2) Retargeting from an existing design or template, which involves using design patterns from a prior floorplan to recreate the same circuit topology, but with new device sizes or integration technology [23,24]. A generic template, independent of technology or specifications, can also be employed to represent these design patterns, with fast compaction techniques applied to produce the updated solution [25]. (3) Knowledge mining, which takes a different approach by using a collection of legacy layouts instead of just one. In this case, the generated solution does not have to match a specific topology from the legacy data but can be derived from the design patterns of various sub-circuits identified in the library [26].

2.2. M/DL Advances: Neural Network-Based

A preliminary proposal for using M/DL in automating the placement of analog ICs was introduced in [27], where mean-field neural networks were utilized to represent planes with grids for device placement. The problem was framed as a network consisting of multiple parallel planes, one for each device in the circuit. Each plane’s dimensions matched the discrete space available for placing the devices. The grid’s edges acted as neurons, and an active neuron indicated the bottom-left coordinate of a device, corresponding to its plane. The model optimized an energy function, which penalized issues like device spacing, overlap, symmetry violations, proximity rule breaches, and out-of-bound placements. These models were topology-dependent, and the discrete space structure made the model’s framework inherently reliant on the dimensions of the devised plane. On a different trail, artificial neural networks (ANNs) were employed to study the design patterns of thousands of validated placement solutions for a single topology [28]. These placements varied while still meeting symmetry and current-flow requirements, with the goal being to train the model to recognize and apply these design patterns to new size scenarios. The output of the network was the position of each of the circuit’s devices in an ℝ2 plane, and it was trained to minimize the mean squared error (MSE) between the predicted floorplan and its corresponding solution from the training set. As shown in Figure 2, the model output three different floorplans, i.e., one each for the minimum area, minimum width, and minimum height, when requested with a different set of design variables and cells’ physical details.
An improved approach can be found in DeepPlacer [29,30], which uses an ANN trained in a semi-supervised manner and evaluated with a topological constraint satisfaction loss function. Instead of comparing the predicted placements with legacy data, this method assesses whether the placements meet the specified constraints, encouraging new yet accurate behaviors. The study also introduced circuit topology encodings by embedding the topological constraints into the input layer of the ANN using directed graphs, as illustrated in Figure 3. This enabled the model to be applied to various circuit topologies, allowing for knowledge to be reused across different designs. However, the model’s limitations include its inability to account for the data structure, restricting it to a fixed maximum number of devices. Additionally, the model’s dependence on the order in which devices were fed into it made its real-world application challenging. To address the limitations of the encoding circuit characteristics in the input layer, the Graph2Seq [31] employed modern embedding methods and a fully unsupervised attention-based encoder–decoder model for automating the analog IC layout placement task. There, the sequence-to-sequence model from [32] was adopted and used to introduce structure in both the input and output. However, unlike the original work where the encoder was a recurrent model that sequentially encoded the input features, in the Graph2Seq, a long short-term memory decoder was used instead [33].
Therefore, by using a graph-structured input, the model became independent of the device order and scalable, allowing it to handle circuits with more devices than those it was trained on. The graph structure represented placement constraints and not the circuit’s connections, meaning the netlist was not required. By focusing on placement constraints instead of netlist connections, the model decoupled the circuit’s electrical properties from its placement rules, making it adaptable to changes in technology without needing retraining. As the model was trained in ensuring compliance with clearly defined topological constraints, it no longer depended on validated legacy layout data. Lastly, the inclusion of a sequential decoder made the model independent of node identification, a common limitation on the number of devices that could be considered. While sequential models typically rely on order, the attention mechanism in this model allowed it to select the order autonomously, making it order-invariant. Table 1 shows a comparison between automatic placement performed with the ANN trained in the semi-supervised fashion of [30] and the encoder–decoder model from [31]. The Graph2Seq is capable of performing equally or better than the semi-supervised fashion, while providing multi-topology support, combined with scalable and order-invariant capabilities. Additionally, the model has almost 1000× lesser parameters, being considerably faster to train. Its generalization potential is observed on OpAmp3, with a significantly higher number of devices and whose data was not included in the training.
In a different direction, Xu et al. [34] proposed a conditional generative adversarial network (GAN) to automate the process of well design based on human expertise examples. The generator was trained to produce well patterns from images of legacy placements, where the use of patches of the layout image as the input/output data results in a model invariant to the number of devices. Later, that method was used to perform well-aware placement in [35].

2.3. M/DL Advances: Reinforcement Learning-Based

In [36], a reinforcement learning (RL) approach for FinFET analog placement design is proposed. There, during the initialization, the devices are distributed on the floorplan in a way that complies with FinFET technology rules, and then, the coordinates of each module (i.e., the environment state) are fed to the agent. During training, the agent chooses an action between moving up, down, left, right, and no move to take for each device, and the environment executes the action, evaluating the newly generated layout in terms of design objectives and therefore providing a reward for the actions taken. The agent then updates the weights and biases of the policy implemented with a deep ANN. This update is made according to the reward that was received from the environment. Consequently, instead of a greedy or random search to find the best location for each device within a placement problem, the agent learned to choose the best action for a given environment state, in order to achieve a higher reward. Experimental results showed that the trained model generated layouts that met the design objectives more efficiently than a greedy search.
Similarly, following the RL path, in [37], the problem is framed as a Markov decision process (MDP), and RL is applied alone and in cooperation with SA. The underlying topological representation encoding the layout is a SP, and the RL is responsible for performing the SP moves that maximize the reward while accounting for an obstacle avoiding the rectilinear Steiner tree algorithm to minimize interconnect length. Table 2 shows a comparison between the proposed RL-based placement algorithms and a traditional metaheuristic based on SA. It is possible to observe that the RL-based methods led to more compact and wirelength-optimized floorplans despite the increased runtime, an aspect that was balanced by combining RL and SA. In [38], the previous work was enhanced by combining relational graph convolutional neural networks (R-GCNs) and RL to create floorplans for a broader scope of analog circuit topologies. The R-GCN served as an encoder of the circuit, device, and geometric constraints and provided that detailed information to the RL agent, which combined it with spatial encodings from a convolutional neural network (CNN) to determine the best shape and placement coordinates for each component.
In a slightly different direction, Refs. [39,40] focused on next-generation high-resolution lithography technologies, where electron-beam lithography (EBL) was preferred for cost-effective mask manufacturing, despite suffering from the so-called foggy effect. As a nanofabrication technique, EBL scans a substrate surface covered with a thin organic film (resist) using a tightly focused electron beam (exposure); even though the focused electron beam will pass through the resist, there are some electrons that deviate from the intended direction. To contour some of the negative effects of the scattered electrons, the works proposed placement mechanisms built over B*-tree topological representations, whose state update was controlled with an RL environment. These placement methodologies assisted by M/DL are summarized in Table 3.

3. Routing

Routing is a definitive process in IC layout design, where the exact conductor paths required to electrically connect all components of the circuit/system are formally drawn using different metallization layers. In the analog domain, it is widely recognized that the performance of circuits/systems is heavily influenced by the parasitic structures introduced during layout design. Therefore, analog routing has traditionally been a meticulous, handcrafted task requiring a higher level of manual detail when compared with its digital counterpart, where EDA tools are fairly well developed. Contrasting to digital ICs, analog circuits typically have a smaller number of nets to be routed, but each is carefully drawn due to the specific constraints and prerequisites involved. For this reason, various automation tools for analog routing have been extensively proposed by the research community for over four decades [41]. It is also important to highlight that since routing is one of the final stages in the IC design flow, the achievable routing quality is largely determined by the preceding layout design tasks, particularly placement. Several design strategies can minimize the required wiring during device- or block-level placement, such as interdigitated and common-centroid configurations or device merging. Furthermore, the exact location of the devices and their terminals on the floorplan plays a crucial role in determining the success of the routing task. As overviewed in the previous section, automatic placement strategies have been thoroughly explored by the EDA community, and the most recent solutions have been continuously improved to accommodate a variety of layout styles and restrictions.

3.1. A Summary of Traditional Automatic Approaches

Conventional routing methods can be categorized into five main groups: (1) Procedural routers, which generate routing solutions through hardcoded scripts. These routers consistently produce the same output for the same input set, and their ability to adapt to new designs is limited. They are ideal when complete control over the routing process is required, though they are time-intensive to develop and maintain. However, they are efficient in generating solutions quickly. Notable examples include BALLISTIC [42] or MSL [43], and more recently, BAG2 [44] and LAYGO [45]. (2) Template-based routers, which use a technology-independent template to represent the routing process, generating the final solution when new device sizes and technology data are input. These routers are especially useful when migrating legacy layouts to different integration nodes or making design adjustments, as seen in IPRAIL [46], LAYGEN [47], or LDS [48]. (3) Digitally inspired routers, such as those found in ILAC [49], ALADIN [50], or ALG [51], which rely on channel routing techniques adapted from the successful methods used in the digital EDA domain. (4) Sequential path-finding routers or area routers, where an algorithm is used to draw wires over a fine or coarse grid to connect different terminals of a net while avoiding obstacles. While these routers incorporate a range of routing techniques as constraints, they heavily rely on heuristics like rip-up and reroute to resolve conflicts between different nets or even wires within the same net. Supporting algorithms can be based on Lee’s maze router, as found in ROAD [52] and ILAC [53], or in line-expansion-style routers, as implemented in ANAGRAM II [54], or more recently, in MAGICAL’s [55] framework. (5) Concurrent routers, with different techniques that attempt to handle all the wires of all the nets at once, pursuing a global optimum. This was achieved by coding the complete routing of a circuit into an integer linear programming [56] problem or by using optimization-based techniques, such as evolutionary-based tools LAYGEN II [57] and AIDA-L [58,59], where a chromosome is used to encode the representation of all wires of all nets required in a circuit. The candidate chromosomes, i.e., different routing solutions, are then evaluated by internal design-rule and layout-versus-schematic checkers. An extensive review of traditional automatic routing approaches can be found in [41].

3.2. M/DL Advances

Recently, M/DL has begun to be applied to analog IC routing. The first reported method was GeniusRoute [60], which extracts knowledge from a collection of legacy layouts and uses it to assist an area router. During the pre-processing of training data, placement and routing are transformed into 2-D images, from which relevant routing information is extracted. For each data sample, the pins of the entire design and the pins of a specific net are mapped into two distinct 64 × 64 channels. These channels are then utilized in a two-step training process, where an ANN is first used as a variational autoencoder (VAE) in an unsupervised training manner, followed by supervised decoder training. The routing mechanism behind GeniusRoute relies on the classic A* pathfinding algorithm, which is enhanced by the model’s predictions to create a routing probability map that guides the search process. In the A* cost function, a routing guidance component composed of two parts is used: a penalty for violating the guidance provided by the model, and a penalty for routing in the probability region of other nets. Traditional rip-up and reroute techniques are still used; however, the legacy design patterns will be present in the automatically generated routing solutions. Table 4 shows the post-layout performance comparison for an operational transconductance amplifier between the manual layout, the floorplan automatically routed by a traditional path-finding algorithm, and a router assisted by the routing probability maps from GeniusRoute. As observed, the automatic routing with probability maps allowed for a performance similar to the expert-made layout to be achieved, with minimal degradation of the phase margin (PM) and improvement on the offset, unlike traditional path-finding, whose extra layout parasitic structures on sensitive nets led to undesired post-layout noise and offset.
Taking the probability map generation capabilities one step further, Peneda et al. [61] applied a CNN-based approach, but focused on solving single-net routing problems while leveraging routing data that contained global optimum solutions for the multi-net problem. This way, they were able to provide probability maps of a specific net but were aware of a multi-net routing context. The inputs to the CNN model were the devices’ placement and its terminals’ locations in a 256 × 256 image format, as illustrated in Figure 4.
In another approach, an attention-guided RL model was used to address the track-assignment issue in detailed routing [62]. This algorithm was designed to integrate design rules into the track-assignment process, where the model identified the optimal order for the set of device pairs to be routed, ensuring that the overall solution quality was optimized. In [63], the training of the RL model was guided by previous solutions, utilizing data from earlier results. Both approaches offered nearly 100× faster runtime compared with a basic scheduler based on an evolutionary algorithm while still producing solutions of a similar quality. In [64], RL is used to guide a custom mixed-signal detailed routing framework capable of handling design constraints and design rules for FinFET technologies. First, the routing solutions of each net are transformed into a heterogeneous graph representation. Afterwards, an initialization process is executed to set the input features of all vertices depending on their path-matching constraints. After the graph construction, two main phases are executed: RL policy learning, which samples sequences of observations, actions, and the corresponding rewards for efficient data collection, training a RL policy maximizing reward; and afterwards, RL-guided rip-up and re-routing, which integrates the previously trained RL policies to determine the most suitable rip-up and reroute sequences to guide a detailed routing algorithm. Ultimately, DPRoute [65] utilized multi-agent deep RL to optimize the routing schedules between nets and addressed the net ordering issue. In this approach, each net was treated as an agent, which should take into account the actions of other agents while making decisions to avoid routing conflicts. In contrast to earlier tools that focused on solving block- or system-level routing, DPRoute was specifically designed for complex package routing challenges. It has been shown to reduce design violations and packaging wirelength when compared with traditional non-M/DL packaging routing algorithms. A summary of these initial routing techniques assisted by M/DL is provided in Table 5.

4. Post-Layout Performance Prediction

In recent years, the layout-aware optimization of ICs operating in the analog spectrum became reality [41]. However, since this was usually achieved through full layout generation, layout extraction, and post-layout simulation inside an automatic sizing process, the optimization times required have escalated, resulting in weeks of computational processing for a single topology even in modern workstations. Therefore, post-layout performance regressors have become essential in modern analog IC design optimization [66], as they will provide the means to bypass time-consuming post-layout extraction and simulation, ultimately learning the underlying relations between layout parasitic structures/LDEs and the functional behavior of the circuits/systems.

4.1. Traditional Performance-Driven Layout Generation Tools

Before the establishment of layout-aware synthesis tools and the arrival of advanced M/DL to EDA tools tailored for analog IC layout design, some tools attempted to minimize the gap between pre- and post-layout performances by performing a pre-layout sensitivity analysis of the circuit performances. For example, in [67], the result of the sensitivity analysis was used to generate a set of placement bounds (i.e., placement constraints), that were then used to constrain the automatic placement generation procedure. In [68,69] a direct performance-driven approach was taken instead, where the product of the sensitivity analysis was used to create linear equations that correlated the distance between devices and the degradation of different performance characteristics, e.g., gain or bandwidth, and quantified them in the fitness function that was used to optimize other floorplan characteristics such as area or estimated wirelength. More recently, Ref. [70] replaced the linear correlations between devices’ distances and post-placement performances by utilizing performance regressors previously built from approximated data sampled from a pseudo-extracted netlist (i.e., not from simulation data). In a different direction, PEDefer [71] incorporated pseudo-post simulation data during the selection of the required subcircuits. However, a library of very specific legacy data had to be available before synthesis.

4.2. Direct Transfer Learning from Pre- to Post-Layout

Since pre-layout performance regressors naturally utilize sizing design variables (widths, lengths, number of fingers, etc.) as features (the model’s inputs), some works have very recently attempted to perform transfer learning from pre- to post-layout [72,73,74,75]. What all these works had in common was the update made in the pre-layout models, previously trained on abundant data, with a few post-layout samples. When compared with a random initialization method of the post-layout performance regressor model, fine-tuning achieved superior results after only a few backtracking iterations. This allowed the post-layout models to adaptively preserve useful model weights learned from the pre-layout domain and modify others to meet the specific parasitic structures added to the circuit due to the layout masks. However, a major drawback is that the layouts used to produce post-layout data must be extremely regular, as no layout implementation details are provided at the input layer of the transferred models, only sizing-related parameters.

4.3. M/DL Advances: Classification-Based Approaches

Since the layout requires that new dimensionalities are considered for performance estimation, a classification-based approach for evaluating the floorplan quality was introduced in [76,77]. These works introduced a classification-based approach for evaluating the floorplan quality, leveraging M/DL for feature extraction from layout images, and its workflow consisted of: (1) Feature extraction, where the layout was decomposed into subcircuits (e.g., differential pairs and bias networks). Each component was represented as a grayscale image, encoding spatial placement relationships. (2) A convolutional neural network, which employed a 3D model where multiple layout feature maps were stacked along the depth axis. This allowed the model to capture the spatial dependencies between subcircuits. (3) Transfer learning for generalization, which was achieved by pre-training the model on a large dataset and then fine-tuning it on smaller datasets for new circuits. However, the classification output followed a percentile-based threshold, with floorplans classified as “good” being those on the top 75% of expected performance, and “bad” floorplans on the bottom 25%. In a slightly different direction but maintaining the classification approach, BagNet [78] was proposed, which contained three main components for a layout-aware sizing flow: an evolutionary algorithm to propose candidate sizing solutions, the BAG tool for generating the layout for each candidate sizing, and an ANN model acting as an oracle. The oracle learned to compare two different solutions in terms of their design variables and selected one as the most promising solution in terms of post-layout functional behavior. It allowed the framework to be more efficient, avoiding several extractions and post-layout simulations.

4.4. M/DL Advances: Regression-Based Approaches

Focused on numerical performance prediction and dropping the subjective “good/bad” classification, in [79], post-layout performance estimation was performed, where net weights were considered as input parameters of the regression model, and Bayesian optimization (BO) was utilized to optimize performance with respect to these net weights, improving the layout generation. Very recently, in Ponderous [80], a performance-driven analog IC placement optimizer, integrating post-layout performance regression via ML models, was proposed. The input features for the ML models were the device sizing and the (x, y) placement coordinates of each device in the layout, with principal component analysis being used to reduce the dimensionality of the input feature vector. The ML regressors, based on extremely randomized trees, output the post-placement performances of interest quantitatively, bypassing extraction and simulation, while still providing accurate values to guide the optimization process, as schematized in Figure 5. While [79,80] used net weights and (x, y) placement coordinates, respectively, to provide some layout-related data to the M/DL models, these approaches were harder to escalate. To contour that aspect, Ref. [81] exploited convolutional VAEs for feature extraction, ensuring that the approach remained inherently technology-independent, while avoiding the need for explicit sizing/placement coordinate data to be input into the model, instead having it input implicitly, via images. When pipelined with an ensemble of multi-layer perceptrons (MLPs), precise quantitative performance prediction was achieved for large amounts of data synthetically generated by automatic tools. In [82] the CNN path to predict post-layout performance figures was also taken, by focusing on developing a reliable method for the offset prediction of several differential amplifiers.
A summary of the overviewed post-layout performance prediction techniques powered by M/DL is provided in Table 6.

5. Discussion and Future Research Directions

This paper conducted a review of the most recent analog IC automatic layout generation techniques powered by M/DL methods, covering placement, routing, and the most recent trends on post-layout performance estimation, providing an actual, complete, and comprehensive guide for circuit designers and EDA developers. Regarding placement, two major research lines have been explored in the past few years, namely: (1) The usage of deep models, e.g., ANNs, to pursue the knowledge mining path [28,29,30,31]. However, as the encoding of the circuits’ traits on the models’ input layer is still an open research question, graph-structured inputs have recently been exploited and are likely to continue to be an active research topic in following years. (2) The application of RL to perform the cells’ moves during automatic placement [36,37,38,39,40]. Unlike SA, which has historically been the algorithm of choice for automatic analog IC placement, in both absolute and relative representations, the capabilities of RL on using an agent to choose the best action for a given environment state, instead of the random search nature of SA, is a promising contribution to automatic placement. Similar to the ANN case, encoding circuit characteristics is also a delicate topic; a condition where graph neural networks that can accurately capture the hierarchical and topological features of circuit designs will be determinant. A final note is left on generative artificial intelligence (AI) and large language models, whose application in analog IC placement is likely to launch, offering novel opportunities for enhancing layout automation, whether by providing new solutions not intuitive for circuit designers, detailed explanations of possible design choices (explainable AI), suggesting improvements based on historical data and/or patterns, and so on.
Concerning routing, it is possible to observe that the most recent analog routing methods assisted by M/DL techniques follow two major trends: (1) dealing with analog layouts as images and afterwards, using convolutional layers to identify uncovered patterns [60,61]; and also, (2) the use of RL to assist traditional routing methods in complex tasks that have usually been dealt with using fixed heuristics, such as net ordering, rip-up, reroute, and even track-assignment [62,63,64,65]. Since these methodologies are still in the early stages of development, many ideas will likely be drawn from the established practices in digital IC routing, where M/DL is also leaving its mark. In this regard, several studies have proposed different approaches to predicting digital routing violations. In [83], various M/DL techniques were examined to predict design rule violations right after global routing, utilizing information such as wire density, connectivity, and pin proximity. In contrast, studies [84,85,86] focused on predicting routing short violations immediately after placement, disregarding global routing information and directly employing ANNs and CNNs. A concept related to violation prediction is the design rule hotspot prediction, which identifies critical areas with possible multiple violations. While traditional hotspot prediction methods forecast hotspots after global routing, Ref. [87] used CNNs to predict hotspots with high accuracy right after placement. These techniques for predicting routing violations or detecting hotspots have been applied to improve the efficiency and performance of established routing processes. For instance, in [88], the routing problem was transformed into an RL-based collaborative system, where one agent detected violations while another agent was trained to perform the routing.
Finally, as layout-aware optimization, i.e., performing full layout generation and extraction inside a traditional simulation-based optimization flow, became a reality in analog IC design, post-layout performance quantification became fundamental to avoid expensive and time-consuming layout extractions and post-layout simulations. Three different research paths have been identified for this purpose: (1) Direct transfer learning from pre- to post-layout [72,73,74,75]; however, the performance regression model is not capable of generalizing for different layout styles, as no layout implementation details are provided at the input layer of the transferred models, only sizing-related parameters. (2) Classification-based approaches or oracles [76,77,78] that exploit ANNs and CNNs to identify the relative quality of a floorplan. While these approaches may avoid several extractions and post-layout simulations when embedded into layout-aware sizing methodologies, they still do not quantify the post-layout performance and thus, cannot effectively guide an optimization process. (3) Regression-based approaches [80,81,82], where the subjective classification is dropped and the exact post-layout performances are estimated. However, due to its complexity, only a few works have so far been reported in the literature as exploiting VAEs and CNNs for this purpose and therefore, post-layout performance estimation is likely to be vastly researched in the near future in the EDA community.

6. Conclusions

This paper extensively reviewed M/DL methods currently being applied to analog IC layout, namely, to automate placement and routing tasks, but also covered the most recent trends on post-layout performance estimation. These enhanced layout generators are opening new perspectives for developing push-button solutions that simultaneously incorporate legacy data or expert design insights in a manner that was not possible in previous generations of EDA tools, and therefore, will be an intensive research topic in the following years at both academical and industrial settings. The ultimate goal of this review was to provide a complete and comprehensive guide for circuit designers and design automation developers, while defining research lines to facilitate more activities within this field.

Funding

This research was funded by Fundação para a Ciência e a Tecnologia –Ministério da Ciência, Tecnologia e Ensino Superior (FCT/MCTES) through national funds and, when applicable co-founded European Union funds under the projects UIDB/50008/2020 (DOI identifier 10.54499/UIDB/50008/2020) and ACTON 2023.11981.PEX (DOI identifier 10.54499/2023.11981.PEX).

Conflicts of Interest

The author declares no conflicts of interest. The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript; or in the decision to publish the results.

Abbreviations

The following abbreviations are used in this manuscript:
AIArtificial Intelligence
ANNArtificial Neural Network
B*-TreeBinary Tree
BOBayesian Optimization
CADComputer-Aided Design
CNNConvolutional Neural Network
DLDeep Learning
EBLElectron-Beam Lithography
EDAElectronic Design Automation
GANGenerative Adversarial Network
ICIntegrated Circuit
LDELayout-Dependent Effect
MDPMarkov Decision Process
M/DLMachine and Deep Learning
MLPMulti-Layer Perceptron
O-treeOrdered Tree
PDKProcess Design Kit
PMPhase Margin
R-GCNRelational Graph Convolutional Neural Network
RLReinforcement Learning
SASimulated Annealing
SoCSystem-on-a-Chip
SPSequence Pair
TCGTransitive Closure Graph
VAEVariational Autoencoder

References

  1. Fayazi, M.; Colter, Z.; Afshari, E.; Dreslinski, R. Applications of Artificial Intelligence on the Modeling and Optimization for Analog and Mixed-Signal Circuits: A Review. IEEE TCAS-I 2021, 68, 2418–2431. [Google Scholar] [CrossRef]
  2. Mina, R.; Jabbour, C.; Sakr, G. A Review of Machine Learning Techniques in Analog Integrated Circuit Design Automation. Electronics 2022, 11, 435. [Google Scholar] [CrossRef]
  3. Maji, S.; Budak, A.F.; Poddar, S.; Pan, D.Z. Toward End-to-End Analog Design Automation with ML and Data-Driven Approaches (Invited Paper). In Proceedings of the 29th Asia and South Pacific Design Automation Conference (ASP-DAC), Incheon, Republic of Korea, 22–25 January 2024. [Google Scholar] [CrossRef]
  4. Wang, C.; Yang, F.; Zhu, K. AI-Enabled Layout Automation for Analog and RF IC: Current Status and Future Directions. In Proceedings of the IEEE International Symposium on Radio-Frequency Integration Technology (RFIT), Chengdu, China, 28–30 August 2024. [Google Scholar] [CrossRef]
  5. Graeb, H. (Ed.) Analog Layout Synthesis: A Survey of Topological Approaches; Springer: New York, NY, USA, 2011. [Google Scholar] [CrossRef]
  6. Lin, P.-H.; Chang, Y.-W.; Hung, C.-M. Recent research development and new challenges in analog layout synthesis. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), Macao, China, 25–28 January 2016. [Google Scholar] [CrossRef]
  7. Zhang, L.; Raut, R.; Jiang, Y.; Kleine, U. Placement Algorithm in Analog-Layout Designs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2006, 25, 1889–1903. [Google Scholar] [CrossRef]
  8. Martins, R.; Póvoa, R.; Lourenço, N.; Horta, N. Current-flow and current-density-aware multi-objective optimization of analog IC placement. Integr. VSLI 2016, 55, 295–306. [Google Scholar] [CrossRef]
  9. Martins, R.; Lourenço, N.; Póvoa, R.; Horta, N. Shortening the Gap between Pre- and Post-Layout Analog IC Performance by Reducing the LDE-induced Variations with Multi-Objective Simulated Quantum Annealing. Eng. Appl. Artif. Intell. 2021, 98, 104102. [Google Scholar] [CrossRef]
  10. Pang, Y.; Balasa, F.; Lampaert, K.; Cheng, C.-K. Block placement with symmetry constraints based on the o-tree nonslicing representation. In Proceedings of the ACM/IEEE Design Automation Conference, Los Angeles, CA, USA, 5–9 June 2000. [Google Scholar]
  11. Balasa, F.; Maruvada, S.; Krishnamoorthy, K. Efficient solution space exploration based on segment trees in analog placement with symmetry constraints. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, CA, USA, 10–14 November 2002. [Google Scholar] [CrossRef]
  12. Balasa, F.; Maruvada, S.C.; Krishnamoorthy, K. Using Red-Black Interval Trees in Device-Level Analog Placement with Symmetry Constraints. In Proceedings of the Asian and South Pacific—Design Automation Conference (ASP-DAC), Kitakyushu, Japan, 24 January 2003. [Google Scholar] [CrossRef]
  13. Maruvada, S.; Berkman, A.; Krishnamoorthy, K.; Balasa, F. Deterministic skip lists in analog topological placement. In Proceedings of the 6th International Conference on ASIC (ASICON), Shanghai, China, 24–27 October 2005. [Google Scholar] [CrossRef]
  14. Zhang, L.; Shi, C.-J.; Jiang, Y. Symmetry-aware placement with transitive closure graphs for analog layout design. In Proceedings of the IEEE/ACM 2008 Asia and South Pacific Design Automation Conference, Seoul, Republic of Korea, 21–24 March 2008. [Google Scholar] [CrossRef]
  15. Lin, J.-M.; Wu, G.-M.; Chang, Y.-W.; Chuang, J.-H. Placement with symmetry constraints for analog layout design using TCG-S. In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, Shanghai, China, 21 January 2005. [Google Scholar] [CrossRef]
  16. Lin, P.H.; Chang, Y.W.; Lin, S.C. Analog Placement Based on Symmetry-Island Formulation. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2009, 28, 791–804. [Google Scholar] [CrossRef]
  17. Wu, I.P.; Ou, H.C.; Chang, Y.W. QB-Trees: Towards an Optimal Topological Representation and Its Applications to Analog Layout Designs. In Proceedings of the Design Automation Conference, Austin, TX, USA, 5–9 June 2016. [Google Scholar] [CrossRef]
  18. Balasa, F.; Lampaert, K. Symmetry within the sequence-pair representation in the context of placement for analog design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. (TCAD) 2000, 19, 721–731. [Google Scholar] [CrossRef]
  19. Koda, S.; Kodama, C.; Fujiyoshi, K. Linear programming-based cell placement with symmetry constraints for analog IC layout. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2007, 26, 659–668. [Google Scholar] [CrossRef]
  20. Tam, Y.-C.; Young, Y.; Chu, C. Analog placement with symmetry and other placement constraints. In Proceedings of the 2006 IEEE/ACM International Conference on Computer Aided Design, San Jose, CA, USA, 5–9 November 2006. [Google Scholar] [CrossRef]
  21. Krishnamoorthy, K.; Maruvada, S.; Balasa, F. Topological placement with multiple symmetry groups of devices for analog layout design. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), New Orleans, LA, USA, 27–30 May 2007. [Google Scholar] [CrossRef]
  22. Patyal, A.; Pan, P.-C.; Asha, K.A.; Chen, H.-M.; Chi, H.-Y.; Liu, C.-N. Analog placement with current flow and symmetry constraints using pcp-sp. In Proceedings of the ACM/ESDA/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 24–28 June 2018. [Google Scholar] [CrossRef]
  23. Jangkrajarng, N.; Bhattacharya, S.; Hartono, R.; Shi, R. IPRAIL—Intellectual property reuse-based analog IC layout automation. Integr. VSLI 2003, 36, 237–262. [Google Scholar] [CrossRef]
  24. Pan, P.C.; Chin, C.Y.; Chen, H.M.; Chen, T.C.; Lee, C.C.; Lin, J.C. A Fast Prototyping Framework for Analog Layout Migration with Planar Preservation. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2015, 34, 1373–1386. [Google Scholar] [CrossRef]
  25. Martins, R.; Lourenço, N.; Horta, N. LAYGEN II: Automatic analog ICs layout generator based on a template approach. In Proceedings of the 14th annual conference on Genetic and evolutionary, Philadelphia, PA, USA, 7–11 July 2012. [Google Scholar] [CrossRef]
  26. Wu, P.H.; Lin, M.P.H.; Chen, T.C.; Yeh, C.F.; Li, X.; Ho, T.Y. A Novel Analog Physical Synthesis Methodology Integrating Existent Design Expertise. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2015, 34, 199–212. [Google Scholar] [CrossRef]
  27. He, R.; Zhang, L. Artificial neural network application in analog layout placement design. In Proceedings of the Canadian Conference on Electrical and Computer Engineering, St. John’s, NL, Canada, 3–6 May 2009. [Google Scholar] [CrossRef]
  28. Guerra, D.; Canelas, A.; Póvoa, R.; Horta, N.; Lourenço, N.; Martins, R. Artificial Neural Networks as an Alternative for Automatic Analog IC Placement. In Proceedings of the SMACD 2019—16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, Lausanne, Switzerland, 15–18 July 2019. [Google Scholar] [CrossRef]
  29. Gusmao, A.; Passos, F.; Povoa, R.; Horta, N.; Lourenco, N.; Martins, R. Semi-Supervised Artificial Neural Networks towards Analog IC Placement Recommender. In Proceedings of the 2020 IEEE International Symposium on Circuits and Systems (ISCAS), Seville, Spain, 12–14 October 2020. [Google Scholar] [CrossRef]
  30. Gusmão, A.; Póvoa, R.; Horta, N.; Lourenço, N.; Martins, R. DeepPlacer: A Custom Integrated OpAmp Placement Tool Using Deep Models. Appl. Soft Comput. 2022, 115, 108188. [Google Scholar] [CrossRef]
  31. Gusmão, A.; Horta, N.; Lourenço, N.; Martins, R. Scalable and Order Invariant Analog Integrated Circuit Placement with Attention-Based Graph-to-Sequence Deep Models. Expert Syst. Appl. 2022, 207, 117954. [Google Scholar] [CrossRef]
  32. Bahdanau, D.; Cho, K.; Bengio, Y. Neural machine translation by jointly learning to align and translate. In Proceedings of the 3rd International Conference on Learning Representations, 2015 International Conference on Learning Representations, San Diego, CA, USA, 7–9 May 2015; Available online: https://arxiv.org/abs/1409.0473v7 (accessed on 30 June 2025).
  33. Hochreiter, S.; Schmidhuber, J. Long Short-Term Memory. Neural Comput. 1997, 9, 1735–1780. [Google Scholar] [CrossRef]
  34. Xu, B.; Lin, Y.; Tang, X.; Li, S.; Shen, L.; Sun, N.; Pan, D.Z. WellGAN: Generative-adversarial-network-guided well generation for analog/mixed-signal circuit layout. In Proceedings of the 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, NV, USA, 2–6 June 2019. [Google Scholar]
  35. Zhu, K.; Chen, H.; Liu, M.; Tang, X.; Shi, W.; Sun, N.; Pan, D.Z. Generative-adversarial-network-guided well-aware placement for analog circuits. In Proceedings of the 27th Asia and South Pacific Design Automation Conference (ASP-DAC), Taipei, Taiwan, 17–20 January 2022. [Google Scholar] [CrossRef]
  36. Ahmadi, M.; Zhang, L. Analog Layout Placement for FinFET Technology Using Reinforcement Learning. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Daegu, Republic of Korea, 22–28 May 2021. [Google Scholar] [CrossRef]
  37. Basso, D.; Bortolussi, L.; Videnovic-Misic, M.; Habal, H. Fast ML Driven Analog Circuit Layout using Reinforcement Learning and Steiner Trees. In Proceedings of the 20th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Volos, Greece, 2–5 July 2024. [Google Scholar] [CrossRef]
  38. Basso, D.; Bortolussi, L.; Videnovic-Misic, M.; Habal, H. Effective Analog ICs Floorplanning with Relational Graph Neural Networks and Reinforcement Learning. In Proceedings of the Design, Automation and Test in Europe Conference, Lyon, France, 31 March–2 April 2025. [Google Scholar]
  39. Hajijafari, M.; Ahmadi, M.; Zhao, Z.; Zhang, L. Fogging-effect-aware mixed-signal IC placement with reinforcement learning. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Austin, TX, USA, 27 May–1 June 2022. [Google Scholar] [CrossRef]
  40. Sadrafshari, M.; Dobre, O.; Zhang, L. Reinforcement-Learning-Based Foggy-Aware Optimal Placement Method for Analog and Mixed-Signal Circuits. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 19–22 May 2024. [Google Scholar] [CrossRef]
  41. Martins, R.; Lourenço, N. Analog Integrated Circuit Routing Techniques: An Extensive Review. IEEE Access 2023, 11, 35965–35983. [Google Scholar] [CrossRef]
  42. Owen, B.; Duncan, R.; Jantzi, S.; Ouslis, C.; Rezania, S.; Martin, K. BALLISTIC: An analog layout language. In Proceedings of the IEEE 1995 Custom Integrated Circuits Conference, Santa Clara, CA, USA, 1–4 May 1995. [Google Scholar] [CrossRef]
  43. Sampath, H.; Vemuri, R. MSL: A High-Level Language for Parameterized Analog and Mixed-Signal Layout Generators. In Proceedings of the IFIP International Conference on Very Large Scale Integration (VLSI-SoC), Darmstadt, Germany, 1–3 December 2003. [Google Scholar]
  44. Chang, E.; Han, J.; Bae, W.; Wang, Z.; Narevsky, N.; NikoliC, B.; Alon, E. Bag2: A process-portable framework for generator-based ams circuit design. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), San Diego, CA, USA, 8–11 April 2018. [Google Scholar] [CrossRef]
  45. Han, J.; Bae, W.; Chang, E.; Wang, Z.; Nikolić, B.; Alon, E. LAYGO: A Template-and-Grid-Based Layout Generation Engine for Advanced CMOS Technologies. IEEE Trans. Circuits Syst. I Regul. Pap. (TCAS-I) 2021, 68, 1012–1022. [Google Scholar] [CrossRef]
  46. Bhattacharya, S.; Jangkrajarng, N.; Hartono, R.; Shi, R. Correct by-construction layout-centric retargeting of large analog designs. In Proceedings of the 41st Design Automation Conference, San Diego, CA, USA, 7–11 June 2004. [Google Scholar]
  47. Lourenço, N.; Vianello, M.; Guilherme, J.; Horta, N. LAYGEN—Automatic Layout Generation of Analog ICs from Hierarchical Template Descriptions. In Proceedings of the Ph.D. Research in Microelectronics and Electronics (PRIME), Otranto, Italy, 12–15 June 2006. [Google Scholar] [CrossRef]
  48. Unutulmaz, A.; Dundar, G.; Fernandez, F. A template router. In Proceedings of the European Conference on Circuit Theory and Design (ECCTD), Linkoping, Sweden, 29–31 August 2011. [Google Scholar] [CrossRef]
  49. Rijmenants, J.; Litsios, J.; Schwarz, T.; Degrauwe, M. ILAC: An automated layout tool for analog CMOS circuits. IEEE J. Solid-State Circuits 1989, 24, 417–425. [Google Scholar] [CrossRef]
  50. Zhang, L.; Kleine, U.; Jiang, Y. An Automated Design Tool for Analog Layouts. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2006, 14, 881–894. [Google Scholar] [CrossRef]
  51. Yilmaz, Y.; Dundar, G. Analog Layout Generator for CMOS Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2009, 28, 32–45. [Google Scholar] [CrossRef]
  52. Malavasi, E.; Sangiovanni-Vincentelli, A. Area routing for analog layout. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 1993, 12, 1186–1197. [Google Scholar] [CrossRef]
  53. Rijmenants, J.; Schwarz, T.; Litsios, J.; Zinszner, R. ILAC: An automated layout tool for analog CMOS circuits. In Proceedings of the IEEE 1988 Custom Integrated Circuits Conference, Rochester, NY, USA, 16–19 May 1988. [Google Scholar] [CrossRef]
  54. Cohn, J.; Garrod, J.; Rutenbar, R.; Carley, L. KOAN/ANAGRAM II: New Tools for Device-Level Analog Placement and Routing. IEEE J. Solid State Circuits 1991, 26, 330–342. [Google Scholar] [CrossRef]
  55. Chen, H.; Liu, M.; Xu, B.; Zhu, K.; Tang, X.; Li, S.; Lin, Y.; Sun, N.; Pan, D.Z. MAGICAL: An Open- Source Fully Automated Analog IC Layout System from Netlist to GDSII. IEEE Des. Test 2021, 38, 19–29. [Google Scholar] [CrossRef]
  56. Ou, H.-C.; Chien, H.-C.; Chang, Y.-W. Non-uniform multilevel analog routing with matching constraints. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2014, 33, 1942–1954. [Google Scholar] [CrossRef]
  57. Martins, R.; Lourenco, N.; Horta, N. Routing analog ICs using a multiobjective multi-constraint evolutionary approach. Analog Integr. Circuits Signal Process. 2014, 78, 123–135. [Google Scholar] [CrossRef]
  58. Martins, R.; Lourenço, N.; Canelas, A.; Horta, N. Electromigration-aware analog Router with multilayer multi-port terminal structures. Integr. VLSI 2014, 47, 532–547. [Google Scholar] [CrossRef]
  59. Martins, R.; Lourenço, N.; Canelas, A.; Horta, N. Electromigration-aware and IR-drop avoidance routing in analog multiport terminal structures. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, 24–28 March 2014. [Google Scholar] [CrossRef]
  60. Zhu, K.; Liu, M.; Lin, Y.; Xu, B.; Li, S.; Tang, X.; Sun, N.; Pan, D.Z. Geniusroute: A new analog routing paradigm using generative neural network guidance. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, CO, USA, 4–7 November 2019. [Google Scholar] [CrossRef]
  61. Peneda, D.; Azevedo, F.; Lourenço, N.; Horta, N.; Martins, R. Effective Routing Probability Maps via Convolutional Neural Networks for Analog IC Layout Automation. In Proceedings of the 20th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Volos, Greece, 2–5 July 2024. [Google Scholar] [CrossRef]
  62. Liao, H.; Dong, Q.; Dong, X.; Zhang, W.; Zhang, W.; Qi, W.; Fallon, E.; Kara, L.B. Attention Routing: Track assignment detailed routing using attention-based reinforcement learning. In Proceedings of the ASME 2020 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference, Virtual, 17–19 August 2020. [Google Scholar]
  63. Liao, H.; Dong, Q.; Qi, W.; Fallon, E.; Kara, L. Track-Assignment Detailed Routing Using Attention based Policy Model with Supervision. In Proceedings of the ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD), Reykjavik, Iceland, 16–20 November 2020. [Google Scholar] [CrossRef]
  64. Chen, H.; Hsu, K.-C.; Turner, W.J.; Wei, P.-H.; Zhu, K.; Pan, D.Z.; Ren, H. Reinforcement learning guided detailed routing for custom circuits. In Proceedings of the International Symposium on Physical Design, New York, NY, USA, 26–29 March 2023. [Google Scholar] [CrossRef]
  65. Yeh, Y.H.; Chen, S.Y.H.; Chen, H.M.; Tu, D.Y.; Fang, G.Q.; Kuo, Y.C.; Chen, P.Y. DPRoute: Deep Learning Framework for Package Routing. In Proceedings of the 28th Asia and South Pacific Design Automation Conference, Tokyo, Japan, 16–19 January 2023. [Google Scholar]
  66. Xu, P.; Li, J.; Ho, T.-Y.; Yu, B.; Zhu, K. Performance-Driven Analog Layout Automation: Current Status and Future Directions (Invited Paper). In Proceedings of the 29th Asia and South Pacific Design Automation Conference (ASP-DAC), Incheon, Republic of Korea, 22–25 January 2024. [Google Scholar] [CrossRef]
  67. Choudhury, U.; Sangiovanni-Vincentelli, A. Automatic generation of parasitic constraints for performance-constrained physical design of analog circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 1993, 12, 208–224. [Google Scholar] [CrossRef]
  68. Gielen, G.; Lampaert, K. Direct Performance-Driven Placement of Mismatch-sensitive Analog Circuits. In Proceedings of the 32nd Design Automation Conference, San Francisco, CA, USA, 12–16 June 1995. [Google Scholar] [CrossRef]
  69. Lampaert, K.; Gielen, G.; Sansen, W. A performance-driven placement tool for analog integrated circuits. IEEE J. Solid State Circuits 1995, 30, 773–780. [Google Scholar] [CrossRef]
  70. Li, Y.; Lin, Y.; Madhusudan, M.; Sharma, A.; Xu, W.; Sapatnekar, S.; Harjani, R.; Hu, J. Exploring a Machine Learning Approach to Performance Driven Analog IC Placement. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Limassol, Cyprus, 6–8 July 2020. [Google Scholar] [CrossRef]
  71. Pan, P.-C.; Huang, H.-W.; Huang, C.-C.; Patyal, A.; Chen, H.-M.; Yang, T.-Y. On Closing the Gap Between Pre-simulation and Post-simulation Results in Nanometer Analog Layouts. In Proceedings of the 15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Prague, Czech Republic, 2–5 July 2018. [Google Scholar] [CrossRef]
  72. Wang, Z.; Hu, W.; Zhou, J.; Zhang, W.; Wang, R.; Zhang, J.; Dou, D.; Ye, Z.; Wang, Y. Building Post-layout Performance Model of Analog/RF Circuits by Fine-tuning Technique. In Proceedings of the 23rd International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 6–7 April 2022. [Google Scholar] [CrossRef]
  73. Wang, Z.; Hu, W.; Yin, S.; Wang, R.; Zhang, J.; Wang, Y.; Ye, Z. Building a post-layout simulation performance model with global mapping model fusion technique. Tsinghua Sci. Technol. 2022, 27, 512–525. [Google Scholar] [CrossRef]
  74. Wang, Z.; Ye, Z.; Zhou, J.; Liu, X.; Wang, Y. A Two-step Fine-tuning Assisted Layout Sizing Scheme for Analog/RF Circuits. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 19–22 May 2024. [Google Scholar] [CrossRef]
  75. Li, Z.; Carusone, A. An Open-Source AMS Circuit Optimization Framework Based on Reinforcement Learning—From Specifications to Layouts. IEEE Access 2024, 12, 150032–150045. [Google Scholar] [CrossRef]
  76. Liu, M.; Zhu, K.; Gu, J.; Shen, L.; Tang, X.; Sun, N.; Pan, Z. Towards Decrypting the Art of Analog Layout: Placement Quality Prediction via Transfer Learning. In Proceedings of the Design Automation and Test in Europe Conference, Grenoble, France, 9–13 March 2020. [Google Scholar] [CrossRef]
  77. Chang, C.-C.; Pan, J.; Xie, Z.; Li, Y.; Lin, Y.; Hu, J.; Chen, Y. Fully Automated Machine Learning Model Development for Analog Placement Quality Prediction. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), Tokyo, Japan, 16–19 January 2023. [Google Scholar]
  78. KHakhamaneshi, K.; Werblun, N.; Abbeel, P.; Stojanovic, V. BagNet: Berkeley Analog Generator with Layout Optimizer Boosted with Deep Neural Networks. In Proceedings of the International Conference on Computer-Aided Design, Westminster, CO, USA, 4–7 November 2019. [Google Scholar] [CrossRef]
  79. Liu, M.; Zhu, K.; Tang, X.; Xu, B.; Shi, W.; Sun, N.; Pan, D.Z. Closing the design loop: Bayesian optimization assisted hierarchical analog layout synthesis. In Proceedings of the 57th ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 20–24 July 2020. [Google Scholar] [CrossRef]
  80. Martins, R. Closing the Gap Between Electrical and Physical Design Steps with an Analog IC Placement Optimizer Enhanced with Machine-Learning-Based Post-Layout Performance Regressors. Electronics 2024, 13, 4360. [Google Scholar] [CrossRef]
  81. Almeida, C.; Oliveira, M.; Martins, R. On the Exploration of Convolutional Variational Autoencoders for Analog Integrated Circuit Post-Placement Performance Regression. In Proceedings of the International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Istanbul, Turkey, 7–10 July 2025. [Google Scholar]
  82. Golzan, M.; Nasiri, H.; Ngatched, T.; Popuri, K.; Zhang, L. Advanced Performance Estimation of Analog Layouts Using Convolutional Neural Networks. In Proceedings of the IEEE 20th International Conference on Intelligent Computer Communication and Processing (ICCP), Cluj-Napoca, Romania, 17–19 October 2024. [Google Scholar] [CrossRef]
  83. Chan, W.-T.; Ho, P.-H.-; Kahng, A.; Saxena, P. Routability optimization for industrial designs at sub-14nm process nodes using machine learning. In Proceedings of the International Symposium on Physical Design, New York, NY, USA, 19–22 March 2017. [Google Scholar]
  84. Tabrizi, A.F.; Darav, N.K.; Xu, S.; Rakai, L.; Bustany, I.; Kennings, A.; Behjat, L. A machine learning framework to identify detailed routing short violations from a placed netlist. In Proceedings of the 55th ACM/ESDA/IEEE Design Automation Conference (DAC), San Francisco, CA, USA, 24–28 June 2018. [Google Scholar] [CrossRef]
  85. Yu, T.C.; Fang, S.Y.; Chiu, H.S.; Hu, K.S.; Tai, P.H.Y.; Shen, C.C.F.; Sheng, H. Pin accessibility prediction and optimization with deep learning-based pin pattern recognition. In Proceedings of the 56th ACM/IEEE Design Automation Conference, Las Vegas, NV, USA, 2–6 June 2019. [Google Scholar]
  86. Yu, T.C.; Fang, S.Y.; Chiu, H.S.; Hu, K.S.; Tai, P.H.Y.; Shen, C.C.F.; Sheng, H. Lookahead placement optimization with cell library-based pin accessibility prediction via active learning. In Proceedings of the International Symposium on Physical Design, New York, NY, USA, 20–23 September 2020. [Google Scholar] [CrossRef]
  87. Liang, R.; Xiang, H.; Pandey, D.; Reddy, L.; Ramji, S.; Nam, G.-J.; Hu, J. DRC hotspot prediction at sub-10nm process nodes using customized convolutional network. In Proceedings of the International Symposium on Physical Design, New York, NY, USA, 20–23 September 2020. [Google Scholar] [CrossRef]
  88. Gandhi, U.; Bustany, I.; Swartz, W.; Behjat, L. A reinforcement learning-based framework for solving physical design routing problem in the absence of large test sets. In Proceedings of the ACM/IEEE Workshop on Machine Learning for CAD, Canmore, AB, Canada, 3–4 September 2019. [Google Scholar] [CrossRef]
Figure 1. Traditional analog IC design flow, where the real layout impact is only known after post-layout simulation.
Figure 1. Traditional analog IC design flow, where the real layout impact is only known after post-layout simulation.
Microelectronics 01 00002 g001
Figure 2. ANN used to pursue knowledge mining on analog IC placement (adapted from [28]).
Figure 2. ANN used to pursue knowledge mining on analog IC placement (adapted from [28]).
Microelectronics 01 00002 g002
Figure 3. Embedding placement topological constraints at an ANN’s input layer through directed graphs (adapted from [30]).
Figure 3. Embedding placement topological constraints at an ANN’s input layer through directed graphs (adapted from [30]).
Microelectronics 01 00002 g003
Figure 4. CNN-based approach to solve analog IC single-net routing problems (adapted from [61]).
Figure 4. CNN-based approach to solve analog IC single-net routing problems (adapted from [61]).
Microelectronics 01 00002 g004
Figure 5. Performance regression pipeline used to bypass off-the-shelf extraction and simulation in an automatic placement methodology (adapted from [80]).
Figure 5. Performance regression pipeline used to bypass off-the-shelf extraction and simulation in an automatic placement methodology (adapted from [80]).
Microelectronics 01 00002 g005
Table 1. Comparison between ANN trained in a semi-supervised manner and encoder–decoder model for Analog IC Placement [31].
Table 1. Comparison between ANN trained in a semi-supervised manner and encoder–decoder model for Analog IC Placement [31].
TopologyOpAmp1OpAmp2OpAmp3ScalableOrder Invariant
Nodeumc130tsmc65ams350umc65
DeepPlacer [30] test error0.740.860.84UndefinedNoNo
Graph2Seq [31] test error0.671.000.820.87YesYes
Table 2. Comparison between traditional placement techniques optimized with SA and RL-based placement [37].
Table 2. Comparison between traditional placement techniques optimized with SA and RL-based placement [37].
Runtime (s)Empty Space (%)HPWL (µm)
SARLRL + SASARLRL + SASARLRL + SA
OTA-12.69 *25.703.6016.5812.14 *14.0275.6073.5772.44 *
OTA-21.76 *35.863.4214.3810.19 *13.61136.09135.06127.16 *
Bias5.8628.742.77 *14.9714.30 *14.90236.44220.50 *249.24
* Lowest value found.
Table 3. Summary of the M/DL Advances for Analog IC Placement.
Table 3. Summary of the M/DL Advances for Analog IC Placement.
ToolYearKey SpecificationTech.Base Code
He [27]2009ANN’s neurons used a discrete space where devices can be placedn/sJava 1.6
Guerra [28]2019ANN used for knowledge mining of legacy floorplans130 nmPython
Ahmadi [36]2021RL approach for placement on advanced FinFET technologies18 nmPython
WellGAN [35]2022Exploration of GANs for well-aware guided placement40 nmC++ and Python
DeepPlacer [30]2022ANN evaluated by a topological constraint satisfaction loss function65–350 nmPython
Graph2Seq [31]2022Graph-structured input in a scalable attention-based encoder–decoder model65–350 nmPython
Basso [37]2024RL performs the moves of the underlying SP topological representationn/sPython
Sadrafshari [40]2024RL performs the moves of the underlying B*-tree representation10 nmPython
Basso [38]2025R-GCN used as an encoder of the circuit, device, and geometric constraintsn/sPython
n/s—not specified.
Table 4. Performance comparison for an operational transconductance amplifier between manual layout, using traditional path-finding routing, and routing assisted by probability maps [60].
Table 4. Performance comparison for an operational transconductance amplifier between manual layout, using traditional path-finding routing, and routing assisted by probability maps [60].
TopologySchematicPost-Layout
Expert DesignerTraditional Path-Finding RoutingAssisted by Routing Probability Maps [60]
Gain (dB)38.2037.4743.6037.36
PM (°)64.6672.4629.9776.40
Noise (µVrms)110.5223.7292.7224.8
Offset (mV)n/a0.882.490.39
n/a—not available.
Table 5. Summary of the M/DL Advances for Analog IC Routing.
Table 5. Summary of the M/DL Advances for Analog IC Routing.
ToolYearKey SpecificationTech.Base Code
GeniusRoute [59]2019Routing probability maps generated by a VAE and used to guide a path-finding algorithm40 nmPython and C++
REINFORCE [62,63]2020RL that solves the track-assignment problem16 nmPython
DPRoute [65]2023RL that solves the net ordering problemn/sPython
Chen [64]2023RL-guided rip-up and rerouting schemeFinFETPython and C++
Peneda [61]2024Routing probability maps generated by a CNN trained on large amounts of synthetically generated data65 nmPython
n/s—not specified.
Table 6. Summary of the M/DL Advances for analog Post-Layout Performance Prediction.
Table 6. Summary of the M/DL Advances for analog Post-Layout Performance Prediction.
ToolYearKey SpecificationTech.Base Code
BagNet [78]2019ANN model acting as an oracle to select the most promising solution 45 nmn/s
Liu [79]2020Net weights used as layout-related data and Bayesian optimization applied to optimize them40 nmC++ and Python
Chang [77]2023CNN used to classify floorplan solutions as “good/bad”n/sn/s
Wang [74]2024Transfer learning from pre- to post-layout within an evolutionary algorithm-based synthesis130–180 nmn/s
Li [75]2024Transfer learning from pre- to post-layout within RL-based synthesis130–180 nmPython
Ponderous [80]2024Post-placement performance regression pipeline using (x, y) placement coordinates65 nmJava and Python
Golzan [82]2024CNN used to predict the offset performance directly from floorplan40 nmPython
Almeida [81]2025Convolutional VAEs and an ensemble of MLPs to estimate post-placement performance65 nmPython
n/s—not specified.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Martins, R.M.F. A Survey of Machine and Deep Learning Techniques in Analog Integrated Circuit Layout Synthesis. Microelectronics 2025, 1, 2. https://doi.org/10.3390/microelectronics1010002

AMA Style

Martins RMF. A Survey of Machine and Deep Learning Techniques in Analog Integrated Circuit Layout Synthesis. Microelectronics. 2025; 1(1):2. https://doi.org/10.3390/microelectronics1010002

Chicago/Turabian Style

Martins, Ricardo M. F. 2025. "A Survey of Machine and Deep Learning Techniques in Analog Integrated Circuit Layout Synthesis" Microelectronics 1, no. 1: 2. https://doi.org/10.3390/microelectronics1010002

APA Style

Martins, R. M. F. (2025). A Survey of Machine and Deep Learning Techniques in Analog Integrated Circuit Layout Synthesis. Microelectronics, 1(1), 2. https://doi.org/10.3390/microelectronics1010002

Article Metrics

Back to TopTop