Next Article in Journal
Time-Interleaved SAR ADC in 22 nm Fully Depleted SOI CMOS
Previous Article in Journal
Energy-Efficient Training of Memristor Crossbar-Based Multi-Layer Neural Networks
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Comprehensive Methodology for Soft Error Rate (SER) Reduction in Clock Distribution Network

by
Jorge Johanny Saenz-Noval
1,
Umberto Gatti
2 and
Cristiano Calligaro
2,*
1
Department of Condensed Matter Physics, University of Cádiz, 11001 Cadiz, Spain
2
RedCat Devices, Via Russoli 7, 20143 Milan, Italy
*
Author to whom correspondence should be addressed.
Chips 2025, 4(4), 39; https://doi.org/10.3390/chips4040039
Submission received: 31 July 2025 / Revised: 16 September 2025 / Accepted: 18 September 2025 / Published: 24 September 2025

Abstract

Single Event Transients (SETs) in clock-distribution networks are a major source of soft errors in synchronous systems. We present a practical framework that assesses SET risk early in the design cycle, before layout and parasitics, using a Vulnerability Function (VF) derived from Verilog fault injection. This framework guides targeted Engineering Change Orders (ECOs), such as clock-net remapping, re-routing, and the selective insertion of SET filters, within a reproducible open-source flow (Yosys, OpenROAD, OpenSTA). A new analytical Soft Error Rate (SER) model for clock trees is also proposed, which decomposes contributions from the root, intermediate levels, and leaves, and is calibrated by SPICE-measured propagation probabilities, area, and particle flux. When coupled with throughput, this model yields a frequency-aware system-level Bit Error Rate ( B E R s y s ). The methodology was validated on a First-In First-Out (FIFO) memory, demonstrating a significant vulnerability reduction of approximately 3.35× in READ mode and 2.67× in WRITE mode. Frequency sweeps show monotonic decreases in both clock-tree vulnerability and B E R s y s at higher clock frequencies, a trend attributed to temporal masking and throughput effects. Cross-node SPICE characterization between 65 nm and 28 nm reveals a technology-dependent effect: for the same injected charge, the 28 nm process produces a shorter root-level pulse, which lowers the propagation probability relative to 65 nm and shifts the optimal clock-tree partition. These findings underscore the framework’s key innovations: a technology-independent, early-stage VF for ranking critical clock nets; a clock-tree SER model calibrated by measured propagation probabilities; an ECO loop that converts VF insights into concrete hardening actions; and a fully reproducible open-source implementation. The paper’s scope is architectural and pre-layout, with extensions to broader circuit classes and a full electrical analysis outlined for future work.

1. Introduction

Clock trees are indispensable components of synchronous digital integrated circuits, serving the vital function of distributing the clock signal from its source, such as a Phase-Locked Loop (PLL) or external oscillator, to all clocked elements across the chip [1], including flip-flops, latches, and embedded memories. The primary objective of a clock tree is to deliver the clock signal with precise timing, minimal skew, low jitter, and sharp edges, as this integrity is paramount for the correct logical operation and overall performance of the digital system [2].
However, the reliable operation of clock trees is increasingly challenged by Single Event Transient (SET) faults. These faults, typically induced by high-energy particle strikes [3], manifest as transient voltage pulses that propagate through the clock tree [4]. SETs occurring within clock trees are particularly problematic because they can induce widespread systemic failures across the integrated circuit, rather than merely localized errors. When an SET pulse reaches a downstream flip-flop, it may induce a false clock edge, leading the latch to capture invalid data—an effect commonly referred to as a Single Event Upset (SEU) [5]. Moreover, such unintended transitions can violate setup and hold time requirements, potentially driving the circuit into metastability or incorrect data capture [6,7,8]. The impact is further magnified by the inherently high fan-out of clock trees: a single upset in a buffer can propagate to thousands of sequential elements [4], triggering simultaneous errors across multiple registers and compromising overall data integrity [9]. Malherbe et al. highlight the vulnerability of clock trees due to limited logical and temporal masking [4].
Mitigation of SETs in digital circuits typically involves hardening memory elements or applying redundancy at the logic level. Nevertheless, the clock tree’s central role demands custom solutions. Research efforts have explored various hardening strategies for standard CMOS processes. These include redundancy methods such as Triple Modular Redundancy (TMR) [10,11], which offers robust fault masking through triplication and voting; however, this approach entails considerable area and power overheads. Dual Modular Redundancy (DMR) with a C-element offers a hybrid spatial-temporal scheme [12]. However, techniques utilizing C-elements in clock leaf drivers introduce increased signal latency, with filtering effectiveness directly tied to the properties of the delay element. Despite other variations that do not require delay elements [13], they may still have high impedance nodes, which are not strongly connected to either power or ground. SET filters are another method to fortify clock paths; however, their efficacy is highly contingent on their placement, as filters placed higher in the tree do not entirely prevent downstream effects and introduce additional timing and power overheads. A typical implementation of a SET filter involves a guard gate paired with a delay line connected to one of its inputs [12]. Introducing delay elements into the clock path typically requires re-timing to maintain overall clock integrity. However, this approach benefits from the fact that the inherent capacitance of the clock network acts as a natural low-pass filter, which helps to suppress short SET pulses. To frame the design choices, Table 1 summarizes the trade-offs among TMR, DMR (with C-element), and SET filters, including area/power overhead, as well as typical use cases.
The fundamental challenge in designing resilient clock trees lies in balancing performance metrics, power efficiency, and overall reliability. For instance, boosting clock buffer drive strength can enhance signal integrity but might inadvertently facilitate SET propagation [13]. Conversely, increasing buffer count to lower fan-out introduces more vulnerable points. The electrical characteristics of the clock tree, including interconnect resistance and capacitance, profoundly influence the shaping of propagating SET pulses [16]. Moreover, inherent masking phenomena—electrical, logical, and temporal—can attenuate or block SET propagation, adding complexity to vulnerability analysis. Furthermore, specific advanced hardening approaches necessitate substantial modifications to standard cell libraries and the adoption of specialized dual input/output clock schemes, presenting notable integration hurdles for Electronic Design Automation (EDA) tools, especially open-source platforms.
This work introduces a comprehensive framework for analyzing and mitigating the impact of SETs on clock distribution networks. At its core is a fault injection technique leveraging Verilog simulations to model SETs and assess their impact on digital designs. The framework’s key contributions include a new analytical SER model for clock trees that decomposes contributions from different hierarchy levels and is parameterized by SPICE-measured propagation probabilities. It also defines an early-stage, technology-independent Vulnerability Function (VF) to rank critical clock nets and guide targeted Engineering Change Orders (ECOs) like remapping and selective filtering. The methodology is delivered through a reproducible open-source implementation (Yosys, OpenROAD, OpenSTA) and validated on a dual-clock FIFO, revealing frequency and topology dependencies. By closing the loop between early-stage vulnerability assessment and concrete hardening techniques, the framework offers a practical path to reducing SET-induced errors in clock networks.

2. Background

2.1. Clock Tree Design

The clock tree serves as a critical infrastructure within synchronous digital circuits. It is responsible for the precise and uniform distribution of the clock signal to all sequential elements across the integrated circuit. This distribution network aims to minimize clock skew (variations in clock signal arrival times at different elements) and signal degradation, ensuring reliable system operation [17]. Figure 1 illustrates a typical three-level clock tree architecture. This structure comprises a root buffer at the top level (Level 0), intermediate buffers at the second level (Level 1), and L leaf buffers at the third level (Level 2). Each leaf buffer drives a group of M sequential elements, such as flip-flops (FF1 to FF6). This hierarchical organization manages fan-out and capacitive load, maintaining timing accuracy.

2.2. Single Event Transients (SETs) in Clock Trees

Clock trees are vulnerable to soft errors, particularly those induced by SETs. Figure 1 shows that a high-energy particle strike can cause an SET, which propagates through the clock tree. A particle strike can generate a SET that propagates through the tree, with its impact depending on the strike location: root-level upsets may affect all flip-flops, intermediate ones only a subtree, and leaf-level upsets just local groups. This structure-to-impact relation highlights the need for careful clock tree design in radiation-sensitive or mission-critical systems, where mitigation such as buffer hardening or filtering is essential.
Once generated, a SET’s amplitude, width, and energy are modified by the tree’s electrical properties. Interconnect parasitics may attenuate or broaden pulses, while buffers can reshape or even amplify them. Clock buffers, often designed with high drive strength to maintain sharp clock edges, can inadvertently aid the propagation of SETs with minimal attenuation.

2.2.1. Effects of SET in Clock Trees

The arrival of such a propagated event at the clock input of a flip-flop is a primary mechanism for Soft Error Rate (SER) in clocked systems, potentially leading to several erroneous behaviors:
  • False Clocking: If the SET pulse has sufficient amplitude and resembles a valid clock edge, the flip-flop may interpret it as a legitimate clock signal, causing it to sample data at an unintended moment. If the data input is unstable or incorrect, an erroneous state will be latched, resulting in a soft error [18,19,20].
  • Timing Violations: A SET can perturb the timing of the clock edge, leading to setup time or hold time violations at the flip-flop [18]. These effects include radiation-induced clock jitter (where the clock edge shifts randomly in time) and radiation-induced race (where a false clock pulse causes data to propagate prematurely through a sequential element) [5].
  • Multiple Flip-Flop Upsets: Due to the high fan-out characteristic of clock trees, a single SET generated in a clock buffer, particularly at higher levels, can propagate to multiple sequential elements, leading to simultaneous upsets in several flip-flops [19,20].

2.2.2. SET Propagation and Masking Phenomena in Clock Trees

For a SET to cause a soft error, it must overcome various masking phenomena as it propagates through the circuit. These mechanisms can attenuate or prevent SET propagation, adding complexity to the analysis:
  • Electrical Masking: A SET pulse may be attenuated or fully suppressed if its amplitude or duration is too small to be detected by the input of the next gate. The electrical properties of logic gates, such as input capacitance and switching thresholds, are crucial for this masking [20,21].
  • Logical Masking: Propagation can be blocked if an SET is prevented by the logical state of other inputs [22]. For example, a transient on one input of an AND gate is masked when another input is fixed at ‘0′. Clock trees generally lack such masking since their cells only amplify signals, except in clock-gating logic, where gates like ANDs can block transients depending on gating signals [5].
  • Temporal (Latching-Window) Masking: A SET must arrive at the input of a sequential element within its specific latching window (defined by setup and hold times) to be captured. Pulses arriving outside this critical window will not be latched, preventing an error. Like logical masking, clock trees generally do not benefit from temporal masking [23].
Beyond explicit masking mechanisms, several other phenomena also influence the characteristics and final impact of SET. These mechanisms are described as
  • Propagation-Induced Pulse Broadening (PIPB): In some cases, as a SET pulse propagates through a chain of logic gates, its width can increase. This phenomenon, dependent on supply voltage, drive strength, and load, can increase the probability of capturing a SET by a downstream latch [24].
  • Charge Sharing: It occurs when adjacent transistors simultaneously collect charge from a particle strike, also called Multiple Node Charge Collection (MNCC) [25]. At low charge, shared collection can mask transients by keeping each node below Q c r i t At higher energies, it can trigger Single Event Multiple Transients (SEMTs), disturbing multiple nodes simultaneously and increasing error propagation [26].
  • Reconvergent Fan-outs: When a logic signal branches and later reconverges at a downstream gate, a reconvergent fan-out (RFON) is formed [22]. RFONs may appear in clock-gating networks and complicate SET analysis by breaking the assumption of independent paths. A SET can diverge, reconverge, and interact in ways that reshape, filter, or amplify the pulse, often producing multiple simultaneous SETs (SEMTs).

2.3. Model for SER in Clock Trees

To provide an analytical framework for optimizing clock trees against SETs, we developed a model for estimating the SER in a two-level clock tree. While conceptually useful, real SET propagation is more complex, depending not only on load-based factors but also on pulse characteristics (width, amplitude, energy), masking effects (electrical, logical, temporal), and technology-dependent parameters such as fan-out, critical charge ( Q c r i t ), supply voltage, and gate delay.
The SER associated with a particle strike on a node, according to [27], resulting in the generation of a SET, is given by
S E R n o d e i = k · Φ A i e Q c r i t Q s
where k is a technology-independent constant, Φ is the particle flux (particles/cm2·s), A i is the sensitive drain area (cm2), Q c r i t is the critical charge, and Q s is the ratio of the collected and generated charge, both given in fC. The SER generated by a particle that strikes the gate and is observed at the gate output node can be estimated as:
S E R g a t e g e n = i = 1 N S E R n o d e i P n o d e i p r o p
where N represents the total number of sensitive nodes within the gate. S E R n o d e i denotes the SER associated with a particle strike on node-i, and P n o d e i p r o p signifies the probability that an SET generated at node i successfully propagates to the gate’s output. This probability ranges from 0 (complete masking) to 1 (no masking at all).
In the following analysis, it is assumed that the flip-flops are uniformly distributed, meaning each leaf buffer drives an identical number of flip-flops, and all buffers at the same level exhibit equal parasitic effects and are of the same instance type. A SET is internally generated at the root buffer with a ratio of S E R r o o t g e n , and propagates to the L level-1 buffers with probability P l e v e l 1 p r o p L , and from there on to their FFs with probability P l e a f p r o p ( M , L ) . Thus, the probability of an event being generated in the root buffer that produces a SER is the following:
S E R r o o t = i = 1 M S E R r o o t g e n · P l e v e l 1 p r o p ( L ) · P l e a f p r o p ( M , L ) · T V F F F i · S F F i
where T V F F F i is the Timing Vulnerability Factor of the i-th FF, representing the probability that a glitch within its timing window leads to an error (given by a value between 0 and 1) [28], and S F F i is the sensitivity of the i-th flip-flop to the clock, which can be defined as either a binary value (0 or 1) indicating whether the stored value is susceptible to a clock perturbation at a given time, or as a probabilistic value between 0 and 1 representing the average S F F i over time. For instance, if the data input (D) of a flip-flop remains constant and matches its stored value, the flip-flop effectively exhibits zero sensitivity to clock glitches, as no observable error arises even if the clock signal is momentarily perturbed.
A SET at the root must first propagate to intermediate buffers (through P l e v e l 1 p r o p ) and then to all DFFs (through P L e a f p r o p ), affecting all M FFs. Each of the K level-1 buffers is susceptible to particle strikes, with an associated SER given by S E R l e v e l 1 g e n . When a SET occurs at a level-1 buffer, it may propagate to its downstream flip-flops with a probability P l e v e l 1 p r o p . In the specific clock tree configuration analyzed in this study, the intermediate stage consists of two level-1 buffers (i.e., K =   2 ). Given a total of M flip-flops, each buffer therefore indirectly drives M / 2 FFs. Based on this structure, the total SER contribution from all level-1 buffers is given by:
S E R l e v e l 1 = i = 1 M 2 S E R l e v e l 1 g e n · P l e a f p r o p M , L · T V F F F i · S F F i  
Finally, for the leaf buffers, each of which drives its respective group of flip-flops, a particle strike can directly induce a SET. The total SER contribution from all leaf buffers ( S E R l e a f ) is determined by summing the product of the generated SER at each leaf buffer ( S E R l e a f g e n ) and the Timing Vulnerability Factor ( T V F F F i ) across all M flip-flops:
S E R l e a f = i = 1 M L S E R l e a f g e n · T V F F F i · S F F i  
Considering a three-level clock tree structure driving M flip-flops (FFs), the total SER due to particle strikes on its components is the sum of contributions from any events originating in the root, all 2 level-1 intermediate buffers, and L leaf buffers:
S E R t o t a l = S E R r o o t + 2 · S E R l e v e l 1 + L · S E R l e a f  
The overall SER in a circuit can be reduced by minimizing the T V F F F or the S F F of each FF. T V F F F is influenced by several factors, including the FF window of vulnerability, operating frequency, and pulse characteristics [28]. On the other hand, SFF mainly depends on RTL coding style and logical synthesis, both of which affect logic depth and path reconvergence [29]. At the electrical level, nodal capacitance, effective transistor resistance, and gate drive strength also play essential roles in shaping SET pulse behavior and masking [20].
Additional SER mitigation strategies involve minimizing the sensitive area of the clock tree, thereby reducing the probability of particle strikes in critical timing paths [4,30]. However, reducing clock tree buffers to minimize area can inadvertently increase fan-out, exposing a greater number of sink nodes to SET based on the specific clock tree architecture. A practical strategy is to selectively use clock buffers with lower SET susceptibility. In parallel, move critical flip-flops to less vulnerable branches and focus mitigation (filters or redundancy) there to enhance reliability with minimal overhead, as shown later

2.4. Background on SET Propagation Probabilities

The accurate estimation of SET propagation probabilities ( P p r o p ) in clock tree buffers is essential for SER analysis. These values express the likelihood that a transient pulse traverses the tree and reaches sequential elements without masking. While Section 2.3 models them as a function of buffer load, this study derives them from SPICE simulations for greater accuracy.

3. Methodology

3.1. Fault Injection Tool

We implemented a Verilog-based fault injection technique to evaluate the impact of SETs on clock tree networks. This technique automates the process of simulating transient faults and analyzing the resulting errors in the design. The fault injection process involves the following key steps:
Testbench Application: A Verilog testbench is employed to provide stimulus and observe the behavior of the design under test.
Iterative Simulation with Parameter Variation: The design is simulated iteratively, with systematic variation in fault injection parameters. These parameters include:
  • Probability of Single Event Effects ( P S E E );
  • Fault duration ( T S E E );
  • Injection mode (INJECT_MODE), which specifies the clock edge(s) for fault injection (e.g., low, high, or both);
  • A flag to control fault injection during WRITE operations (INJ_ON_WRITE).
Randomized Fault Injection: Each run is seeded with a unique, high-entropy value from/dev/urandom. This approach enables a Monte Carlo-style analysis, where numerous simulations with varying random fault scenarios and inputs are performed.
Parallel Simulation Execution: To accelerate the fault injection process, simulations are run in parallel across multiple CPU cores using GNU parallel [31]. This significantly reduces the time required to perform the extensive simulations needed for statistically significant results.
Analysis Results: The simulation results are analyzed to extract the Bit Error Rate (BER), which quantifies the frequency of errors observed in the design due to the injected faults. In addition to BER, the script performs a statistical analysis to calculate other relevant metrics, such as the average, standard deviation, maximum, and minimum BER.
Figure 2 illustrates the complete design and analysis flow employed to enhance clock tree robustness against SETs. This methodology integrates fault injection techniques with conventional digital design stages and Engineering Change Order (ECO) procedures—modifications made late in the design cycle to optimize or correct specific aspects of the circuit without requiring full re-synthesis. The flow leverages a combination of open-source and in-house tools to enable iterative refinement and targeted hardening.
The design process commences with a fault injection at the RTL level, performed to identify potential critical nets within the design. These critical nets are then considered during the subsequent stages of logic synthesis, placement, and floorplanning. After Clock Tree Synthesis (CTS), we analyze the clock network and run a post-CTS fault-injection campaign to obtain a preliminary SER and reveal additional CTS-specific critical nets.
Based on this analysis, an iterative ECO loop is initiated to mitigate identified vulnerabilities. Previously flagged critical flip-flop clock nets are strategically swapped or rerouted to alter propagation paths and grouped to share a CTS buffer, where beneficial. In parallel, SET filters are selectively inserted near the sinks of vulnerable clock paths to attenuate transients, following established placement guidelines—particularly close to sequential elements. After the ECOs, we perform timing repair to meet specs, then proceed to detailed placement and routing. On the fully routed design, we conduct a final CTS fault-injection campaign and produce the SER report, quantifying the clock-tree robustness gains achieved through the proposed methodology.

3.2. SPICE Characterization of SET Propagation Probabilities

To accurately quantify the propagation probabilities ( P p r o p ) for each buffer element within the clock tree, a dedicated test bench was implemented and utilized, as shown in Figure 3. Simulations were conducted using a SPICE-based simulator, employing extracted clock tree netlists that incorporated the schematics of standard cells. The core of the setup involved a dual-rail comparison: one version of the circuit served as a fault-free reference, while the other was designated as the faulty version where SETs were injected. A Verilog-A-based verifier was employed to detect and count errors by identifying glitches exceeding a predefined picosecond threshold. This threshold was established to prevent erroneous fault counter triggers arising from minor differences in numerical simulation steps between the two circuits.
The injection methodology consists of simulating 1000 clock periods, with a single transient pulse introduced during each period at a randomly selected time within the corresponding clock cycle. The polarity of the injected pulse was precisely controlled: a negative deviation was induced when the clock was high, and a positive deviation when the clock was low. A SEE was injected into a target net, such as the root buffer, as illustrated in Figure 3, with measurements taken at the output of the leaf (sink node). This comprehensive setup enabled the capture of SET propagation characteristics through intermediate (level-1) and leaf (level-2) buffers, inherently accounting for load effects, parasitic influences, and electrical masking. This detailed characterization process enabled the empirical derivation of the propagation probabilities required for the analytical model.

3.3. Vulnerability Assessment

We introduce a clock-tree Vulnerability Function (VF) to assess SET susceptibility at early design stages. VF is computed from Verilog-level fault-injection results and architectural attributes. It excludes technology-dependent factors, making it portable across nodes and operating points. VF enables normalized comparisons, ranks critical nets, and guides CTS/ECO decisions in this work.
The vulnerability of an individual clock net, denoted V i , is defined as
V i = V F i · A B U F i A B U F 0
where V F i denotes the vulnerability of device i (buffer), defined as the probability that a particle strike on that device produces an error observable at the architectural/functional level. A B U F 0 is the area of the smaller buffer available in the target technology, and A B U F i ¯ is the normalized area of the buffer driving the net. The normalization factor accounts for differences in the size of each buffer’s sensitive region relative to a reference buffer. This area-based weighting is essential, as larger buffers have a greater cross-sectional area for particle strikes, increasing the likelihood of SET generation [30]. Examples of vulnerability functions may include Bit Error Rate (BER), Architectural Vulnerability Factor (AVF) [27], Mean Error Susceptibility (MES), or Mean Error Impact (MEI) [32].
In the specific FIFO example, we defined our vulnerability function as the BER. Thus, the vulnerability at each node is as follows:
V i = B E R i · A B U F i A B U F 0 = B E R i ·   A B U F i ¯
where B E R i is the BER observed when the i-th buffer (device) is affected by a SEE.
The total vulnerability ( V t o t a l ) of the entire clock tree is then calculated as the sum of the vulnerabilities of all N distinct nets within the clock tree:
V t o t a l = i = 1 N V F i · A B U F i ¯ = i = 1 N B E R i · A B U F i ¯

3.4. System-Level Bit Error Rate (BER) Computation

To provide a comprehensive assessment of the clock tree’s impact on the overall system reliability, we compute a system-level Bit Error Rate ( B E R s y s ) that accounts for SET generation, propagation, and the circuit’s operational throughput. This metric yields a dimensionless ratio (bit errors per bit transferred) as a function of operating frequency, directly reflecting the FIFO’s reliability at different clock rates.
The computation proceeds in several steps. First, the per-net upset rate ( λ i ) is determined. Given a constant SET injection rate of λ events/second in the clock tree, and assuming that net i (which corresponds to the buffer area A i ) “captures” a fraction of these events proportional to its area relative to the total sensitive area ( j A j ), the upset rate on net i is calculated as
λ i = λ · A i j A j  
Next, the BER per event ( E i ) is computed. From our fault injection experiments, we obtain B E R i , which represents the average errors per total bits transferred when net i suffers one SET event at frequency f. This can be interpreted as the probability that a bit is corrupted, given that one SET occurs in net i. Thus, the bit-error rate contributed by net i (in bit-errors/sec) is:
E i f = λ i · B E R i f  
The total errors per second ( E t o t a l ) for the entire clock tree at frequency f is then the summation of contributions from all N nets:
E t o t a l f = i = 1 N E i f = λ i A i · B E R i f j A j
To normalize this upset rate by the actual data transferred, the data throughput ( R ) of the FIFO is calculated. Representing the number of bits pushed out per second, it is based on its design parameters (Data Width DW, FIFO Depth FD, the number of clock cycles that it takes to write and then read the entire FIFO ( N c l k ), and the operating frequency f:
R f = D W · F D N c l k · f   [ bits / sec ]
Finally, the system-level BER ( B E R s y s ) at frequency f is obtained by dividing the total bit errors per second by the data throughput:
B E R s y s f = E t o t a l f R f = λ · N c l k D W · F D · f i A i · B E R i f j A j  
B E R s y s provides a normalized, frequency-dependent measure of bit-level degradation from clock-tree SETs. It combines the SET injection rate, per-net area, measured error probabilities, and FIFO throughput to yield the average bit errors per transmitted bit. The metric enables direct comparisons across operating frequencies and coding styles via N c l k . Note that it captures only clock-network SETs; full system analysis requires additional metrics for datapath or functional-logic faults [32].

4. Experimental Setup and Results

The experimental setup for this study integrates various open-source EDA tools within a unified workflow to analyze and mitigate SETs in clock trees. The overall design and analysis flow were previously presented in Section 3.1. The entire flow was tested using a Radiation Hardened Library designed by RedCat Devices and implemented in a 65 nm TSMC process (RadLibN65GPS). This library is SEL (Single Event Latch-up) immune thanks to enhanced guard rings between N-channel and P-channel transistors and TID resilient over 1Mrad (Si) thanks to stacked topology in transistors; nevertheless, SETs are possible even if at higher energy with respect to canonical standard cell libraries designed for terrestrial applications. The methodology for validating propagation probabilities was also applied to a standard cell library (RadLibN28HPC), also designed by RedCat Devices in a TSMC 28 nm High-Performance Compact (28HPC) process. It represents a cost-/power-efficient derivative of the 28HPM process.
A custom in-house tool manages fault-injection and vulnerability assessment from RTL through post-layout netlists. Using randomized inputs and fault sites, we run Monte Carlo simulations. The methodology is validated on a dual-clock FIFO, a circuit known for its susceptibility to clock glitches. For a nominal case (DATA_WIDTH = 8, FIFO_DEPTH = 32), a consistent write-read test pattern is repeated for each fault injection, with faults injected exclusively into the clock distribution network. The methodology enables variation in clock tree sink assignments and comparison of strategies within the CTS stage of OpenROAD [32]. Vulnerability assessment and the experimental setup used to estimate SET propagation probabilities, detailed in Section 3.2, are also embedded within this unified simulation framework. All reported BERs are means computed over the randomized simulation set.
Figure 4 presents a comprehensive overview of the design outputs for a Dual Clock FIFO, generated using open-source EDA tools. The top-left panel shows the Yosys synthesis report—area, cell counts, and estimated power [33]. The bottom-left shows the OpenSTA timing log emphasizing clock-tree metrics [34]. It provides, for each clock net, the number of connected loads, the maximum and minimum driven capacitance, and a list of output nets with their corresponding instance and pin names. The right panel shows the physical layout of the design generated by Open ROAD, with zoomed-in sections indicating the placement of three different SET filters. Below the layout, a summary table lists the instantiated cell types along with their associated area contributions.

4.1. Clock Tree Hardening and Critical Net Management

Early identification of SET-sensitive clock paths at the RTL level is crucial, as it provides the basis for the effectiveness of the proposed approach. Figure 5 presents a visual comparison of the clock distribution network before and after targeted hardening. Figure 5a displays the original clock distribution and its respective datapath. In this initial assessment, specific clock tree nets are identified as “critical” (indicated in red), signifying their high susceptibility to SETs and their potential to propagate errors. For instance, branches 3 and 4 notably contain several critical nets such as wr_ptr [1] and wr_ptr_gray [2]. Conversely, “less critical” nets are highlighted in green.
Figure 5b illustrates the modified clock distribution following the application of ECO-based mitigation strategies, as detailed in Section 3.1. Observe, for example, the presence of a SET filter integrated into a specific branch (e.g., branch 4 in this depiction). This targeted intervention, coupled with re-grouping of critical elements, aims to transform highly sensitive paths into more robust ones. The change in color from red to green for several nets (e.g., mem[9][1], mem[9][2], mem[11][2]) after mitigation visually confirms the successful reduction in overall critical clock nets, thereby improving the network’s resilience against SETs.

4.2. SPICE-Based Estimation of Clock-Tree Propagation Probability

Accurately estimating the SET propagation probability ( P p r o p ) through clock-tree buffers is essential for reliable SER prediction. Beyond load-only models, SPICE characterization across two nodes—28 nm (HPC) and 65 nm (GP)—with a common Level-1 BUF4X and either BUF2X or BUF4X leaves (in Figure 6), shows a key technology effect: for the same injected charge, the strike at the root generates a shorter transient in 28 nm, so P p r o p l e v e l 1 is ≈0.5 at light fan-out, whereas 65 nm remains near 1. As L increases, the added load attenuates/narrows the pulse and P p r r o p l e v e l 1 dips to a minimum (≈16 leaves with BUF2X, ≈14 with BUF4×) before slightly recovering at very high L due to RC broadening.
The leaf stage exhibits the opposite trend: with fixed total flip-flops, increasing L lowers per-leaf fan-out, making leaf-level pulses less masked and driving P p r o p l e a f upward toward ≈1; small L (heavy leaf loading) broadens/attenuates pulses and reduces P p r o p l e a f . The dashed markers indicate the L that best balances low level-1 propagation with acceptable leaf propagation (≈22/16 for 28 nm with BUF2X/BUF4X, and ≈16/14 for 65 nm). These SPICE-based curves directly parameterize the analytical model of Section 2.3, replacing idealized load functions with measured probabilities for more accurate SER estimates.

4.3. Impact Assessment of Mitigation Strategies

Table 2 presents a detailed comparison of the clock tree vulnerability for the original design versus the hardened design using the methodology proposed in Section 3.2. Vulnerability values are reported based on operational mode (READ or WRITE) and specific clock phase (CLK Low or CLK High), as well as an averaged total for each design version.
In the original design, READ operations exhibited an average vulnerability of 3.32, with phase-specific values of 3.58 (CLK Low) and 3.05 (CLK High). WRITE operations showed a consistently higher average vulnerability of 4.91, with no significant phase-to-phase variation.
After implementing the proposed mitigation strategy, a substantial reduction in vulnerability is observed. During READ operations, the average vulnerability dropped to 0.99, with CLK Low and CLK High phases at 0.66 and 1.32, respectively. For WRITE operations, the average vulnerability decreased to 1.84, although greater phase sensitivity emerged, with 1.10 during CLK Low and 4.08 during CLK High.
These results demonstrate the effectiveness of the proposed mitigation in enhancing clock tree robustness against SETs. The vulnerability during READ operations is reduced by approximately 3.35-fold (from 3.32 to 0.99), while WRITE operations experience a reduction of around 2.67-fold (from 4.91 to 1.84).

4.4. Frequency-Dependent Error Rate and Vulnerability Analysis

The vulnerability metric quantifies the aggregate SER contributions across all affected clock tree elements, representing the summation of BER multiplied by the sensitive area of each component. Figure 7a reports this vulnerability for both READ and WRITE operations as a function of the number of clock nets. Remarkably, WRITE vulnerability reaches its lowest value (7.95 a.u.) at ~50 nets, while READ is largely insensitive to the net count within the tested range. Note that this optimum is derived from the Verilog-level and does not include electrical masking and load effects.
The BER was analyzed as a function of the clock period ( T C L K ) for a fixed SET pulse width (TSEE = 0.1 ns). This analysis focuses on a specific high-frequency regime (short clock periods) where fine-grained timing effects become particularly relevant. Figure 7a presents the BER observed from SETs injected exclusively into the clock tree. As shown in Figure 7b, the BER remains relatively constant across the 4 ns to 6 ns clock period range for all operational and injection modes. WRITE operations consistently exhibit a higher BER than READ operations, reflecting greater susceptibility during data writing cycles. Within this specific high-frequency window, the influence of injection mode (INJ MODE = 0 vs. INJ MODE = 1) on BER is minimal, with both modes showing very similar performance.
Figure 8 illustrates the impact of FIFO dimensions on vulnerability, normalized by (a) Data Width (DW) and (b) FIFO depth (FD). As presented in Figure 8a, the vulnerability per bit (VF/DW) decreases as the DW increases. This decline in per-bit vulnerability is attributed to the fixed area of the upper clock tree being shared by a greater number of flip-flops, as the overall clock-tree area grows sub-linearly with size. A similar trend is observed in Figure 8b, where the vulnerability per entry (VF/FD) decreases as the FIFO depth (FD) increases. Replicating small FIFOs duplicates the large root/branch buffers, increasing exposed CT area and expected errors. Therefore, for the same storage capacity, technology, and frequency, a single 16 × 128 FIFO yields lower expected SET vulnerability per bit and per chip area than four 4 × 128 instances. The same is true for FIFO with different depths. Multiple small FIFOs may close the gap when most of them are clock gating, leaving their clock trees quiescent and reducing error propagation through the inactive paths.
Figure 9 illustrates the vulnerability of the dual-clock FIFO during both WRITE and READ operations as a function of clock frequency ( f c l k ), at a constant SET rate. Figure 9a shows average vulnerability versus clock frequency for WRITE and READ modes. WRITE remains consistently higher than READ across the range, reflecting greater susceptibility during pointer/data updates. This behavior is consistent with the principle of temporal masking: higher frequencies result in shorter clock cycles, thereby reducing the effective time window during which a SET can coincide with a latch-sensitive transition. Therefore, the contribution to system-level vulnerability decreases significantly.
Figure 9b reports the area-normalized vulnerability versus clock frequency. Vulnerability is computed using overall area weighting—clock-tree contributions use the sum of buffer areas, and datapath contributions use the area of the drivers feeding the flip-flops D pins; transients for the datapath case are injected only at the D inputs. All values are then normalized by the total die area, yielding a dimensionless measure. The clock-tree component (red) dominates and decreases monotonically, consistent with temporal masking and higher throughput. The datapath component (blue) is an order of magnitude smaller and weakly frequency-dependent; the total (green) follows the clock-tree trend.
Figure 10 presents a comprehensive system-level evaluation of the BER, computed according to the methodology described in Section 3.4. With the SET injection rate held constant, increasing the clock frequency results in a greater number of clock cycles—and therefore more operations—within the same time interval. As a result, the fixed number of SET events becomes spread over a larger volume of data activity, leading to a reduction in the number of bit errors per kilobit. Figure 10a compares the error rate during READ versus WRITE operations across the frequency spectrum. It demonstrates that WRITE operations consistently incur a notably higher error rate than READ operations at comparable frequencies across the entire range tested. WRITE operations are more susceptible to being altered since a single SET in the clock could corrupt either the data being written or the address it is written to, or both. Figure 10b further breaks down the total errors per kilobit by injection mode, showing the overall impact of SET injection during two different injection phases, which appear quite similar.

5. Discussion

5.1. Frequency Dependence of SET Vulnerability

Our results, as detailed in Section 4.4 (Figure 9 and Figure 10), demonstrate a consistent decrease in both clock tree vulnerability and system-level B E R s y s as operating frequency f c l k increases. Under a fixed SET injection rate, shorter periods reduce the effective latching window (temporal masking) and distribute a constant number of SET events over more operations, lowering the error rate per bit. These findings pertain to SETs propagating through the CTS network only.
To gauge the datapath contribution, we performed a separate area-weighted analysis in which transients were injected only at the D pins of flip-flops, and the vulnerable area was defined as the drivers of those pins (clock contribution was calculated as the sum of buffer areas). With this model, the datapath vulnerability is significantly smaller than that of the clock-tree component and is only weakly dependent on frequency. Importantly, this datapath experiment does not include masking or pulse reshaping in upstream combinational logic, nor direct strikes inside flip-flops; therefore, it should be viewed as a lower-bound estimate of datapath sensitivity, not a comprehensive datapath SER.
The literature reporting a rise in SER with frequency—when direct FF nodes or combinational logic are struck and clock distribution effects are minimized—remains consistent with our observations because the fault models differ. Works such as [35,36] (and the interpretation in [37]) demonstrate higher error rates at faster clock speeds due to reduced timing margins for capturing transients in flip-flop chains. By contrast, our study isolates clock-path-induced errors. Conversely, studies observing a decrease in error with frequency, where clock-path effects dominate (e.g., [38]), align with our clock-tree findings.
In summary, within the scope of clock-network SETs, vulnerability decreases with f c l k . The datapath result presented here is conservative (D-pin only, area-weighted) and excludes upstream masking; a fuller evaluation should incorporate combinational-path injections, electrical masking with post-layout parasitics. Moreover, circuit class matters: different blocks can exhibit distinct SET behaviors due to their function and clock-tree topology. For example, our DUT—a FIFO—is a storage-dominated; from the clock-distribution perspective, it resembles a datapath island because many leaf flip-flops toggle each cycle. Control-dominated FSMs/arbiters and compute datapaths (e.g., ALUs/MACs) may therefore show different frequency dependencies and mitigation payoffs. Reconciling literature trends in [35,36,37,38] should account for this heterogeneity, which we note here but leave outside the scope of this paper.

5.2. Practical Clock Tree Design Guidelines for SET Robustness

Based on the analyses and results presented in this study, the following practical guidelines are proposed to enhance the robustness of clock trees against SETs in clocked digital systems. These recommendations translate the insights gained from vulnerability assessments and mitigation strategies into actionable design principles, addressing the complex interplay of factors that influence clock tree susceptibility.
The proposed guidelines are:
  • Strategic Grouping of Critical Clock Nets: Critical clock nets should be allocated to share the same clock buffer (leaf) to concentrate protection efforts. When multiple critical groups exist, they should be partitioned based on their functional interdependence; for example, grouping pointer signals separately from control counter signals in a FIFO. This grouping can be applied late in the flow using ECO scripts to remap critical clock nets onto the same leaf buffer without a full re-synthesis.
  • Consider Fan-out and Drive Strength Trade-offs: While increased fan-out can increase the critical charge ( Q c r i t needed for an SET to be generated, it also tends to broaden the SET pulse width. The net impact on propagation probability is complex and technology-dependent. Cells with higher driving strength can be more resilient, but this benefit must be weighed against the area overhead, as an increased number of transistors can lead to a larger sensitive area. Designers should carefully analyze these trade-offs regarding their technology node and design requirements.
  • Thoughtful Placement of SET Filters: SET filters are most effective when placed near the sequential elements (flip-flops) they are intended to protect. Placing filters higher in the clock tree may not prevent SET effects in all downstream clock nets, and filters introduce area overhead, increasing their probability of being struck by a particle compared to a standard buffer. Therefore, filter placement should prioritize localized protection at the final stages of the clock tree.
  • Utilize Simulation-Based Analysis: Given the complex interplay of SET characteristics, masking effects, and clock tree topology, simulation-based fault injection is crucial for accurate vulnerability assessment and the validation of hardening techniques. Tools that allow configurable fault parameters and Monte Carlo analysis provide valuable insights into the propagation probabilities and overall SER. Crucially, the sensitivity of each flip-flop to clock SETs should be considered at the RTL and, most importantly, at the post-synthesis and post-layout stages, where physical characteristics and exact timing details are available.

6. Conclusions

This paper presents a new model for estimating the Soft Error Rate (SER) from Single Event Transients (SETs) exclusively in clock distribution networks, a critical component whose vulnerability can significantly impact the overall SER of synchronous digital. Our approach leverages a specialized Vulnerability Function (VF), an early-stage, architecture-level metric, to guide targeted Engineering Change Order (ECO) modifications such as re-mapping or re-routing clock nets and adding selective SET filters. We implemented this methodology to be technology independent within an open-source design flow (Yosys, OpenROAD, OpenSTA) and validated it on a dual-clock FIFO. The results demonstrate a substantial reduction in vulnerability, cutting the READ-mode vulnerability by a factor of 3.35× and the WRITE-mode vulnerability by 2.67×. Furthermore, our analysis shows that as the clock speed increases, both clock-tree vulnerability and the overall bit-error rate decrease, which aligns with the principle that shorter cycles provide transients with less time to cause errors. SPICE simulations provide additional insight into technology effects, revealing that for the same injected charge, a 28 nm process produces a shorter pulse than a 65 nm process, thereby affecting glitch propagation and shifting the optimal clock-tree partition.
The scope of this work is specific to an early-stage analysis. The VF metric does not account for post-layout parasitics, supply voltage changes, or electrical masking. However, the effects of parasitics were partially accounted for in our measured propagation probabilities, providing a more refined view of real-world SET behavior. The fault injection campaigns were limited to the clock distribution network, omitting masking in upstream logic, datapath injections, or direct upsets inside flip-flops. Nonetheless, this work demonstrates that combining an early, process-independent VF with measured propagation data and small, targeted ECOs gives designers a clear path to lower SET-induced errors in clock networks. The planned extensions of this research will test more circuit types (i.e., control-based, datapath-based), and add combinational-path injections and flip-flop internal upsets to bridge our results with other studies. In short, these efforts will broaden the conclusions across circuit types and technology nodes, providing a more comprehensive framework for SET mitigation.

Author Contributions

Conceptualization, C.C.; methodology, C.C. and J.J.S.-N.; software, J.J.S.-N. and C.C.; validation, J.J.S.-N., C.C. and U.G.; formal analysis, C.C. and U.G.; investigation, J.J.S.-N., C.C. and U.G.; resources, C.C.; data curation, J.J.S.-N., C.C. and U.G.; writing—original draft preparation, J.J.S.-N.; writing—review and editing, J.J.S.-N., C.C. and U.G.; visualization, J.J.S.-N., C.C. and U.G.; supervision, J.J.S.-N., C.C. and U.G.; project administration, C.C.; funding acquisition, C.C. (internal funding). All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The original contributions presented in this study are included in the article. Further inquiries can be directed to the corresponding author.

Conflicts of Interest

Author Umberto Gatti and Cristiano Calligaro were employed by the RedCat Devices S.r.l. The remaining authors declare that the research was conducted in the absence of any commercial or financial relationships that could be construed as a potential conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
ALUArithmetic Logic Unit
AVFArchitectural Vulnerability Factor
BERBit Error Rate
CTSClock Tree Synthesis
DMRDual Modular Redundancy
DUTDevice Under Test
ECOEngineering Change Order
EDAElectronic Design Automation
FFFlip-Flop
FIFOFirst-In, First-Out
MACMultiply–Accumulate unit
MEIMean Error Impact
MESMean Error Susceptibility
PIPBPropagation-Induced Pulse Broadening
QcritCritical Charge
QinjInjected Charge
RFONReconvergent Fan-Out
RTLRegister Transfer Level
SEESingle Event Effect
SEMTSingle Event Multiple Transient
SERSoft Error Rate
SETSingle Event Transient
SEUSingle Event Upset
TMRTriple Modular Redundancy
TVFTiming Vulnerability Factor

References

  1. Friedman, E.G. Clock distribution networks in synchronous digital integrated circuits. Proc. IEEE 2001, 89, 665–692. [Google Scholar] [CrossRef]
  2. Fan, J.; Ye, X.; Kim, J.; Archambeault, B.; Orlandi, A. Signal Integrity Design for High-Speed Digital Circuits: Progress and Directions. IEEE Trans. Electromagn. Compat. 2010, 52, 392–400. [Google Scholar] [CrossRef]
  3. Aguiar, V.A.P.; Alberton, S.G.; Pereira, M.S. Radiation-Induced Effects on Semiconductor Devices: A Brief Review on Single-Event Effects, Their Dynamics, and Reliability Impacts. Chips 2025, 4, 12. [Google Scholar] [CrossRef]
  4. Malherbe, V.; Gasiot, G.; Clerc, S.; Abouzeid, F.; Autran, J.-L.; Roche, P. Investigating the single-event-transient sensitivity of 65 nm clock trees with heavy ion irradiation and Monte-Carlo simulation. In Proceedings of the 2016 IEEE International Reliability Physics Symposium (IRPS), Pasadena, CA, USA, 17–21 April 2016. [Google Scholar]
  5. Lange, T.; Glorieux, M.; Alexandrescu, D.; Sterpone, L. Functional Failure Rate Due to Single-Event Transients in Clock Distribution Networks. In Proceedings of the 2019 14th International Conference on Design & Technology of Integrated Systems In Nanoscale Era (DTIS), Mykonos, Greece, 16–18 April 2019. [Google Scholar]
  6. Miskov-Zivanov, N.; Marculescu, D. Modeling and Optimization for Soft-Error Reliability of Sequential Circuits. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2008, 27, 803–816. [Google Scholar] [CrossRef]
  7. Hao, P.; Chen, S. Single-Event Transient Susceptibility Analysis and Evaluation Methodology for Clock Distribution Network in the Integrated Circuit Working in Real Time. IEEE Trans. Device Mater. Reliab. 2017, 17, 539–548. [Google Scholar] [CrossRef]
  8. Chen, R.M.; Mahatme, N.N.; Diggins, Z.; Wang, L.; Zhang, E.X.; Chen, Y.P.; Liu, Y.N.; Narasimham, B.; Witulski, A.F.; Bhuva, B.L.; et al. Impact of Temporal Masking of Flip-Flop Upsets on Soft Error Rates of Sequential Circuits. IEEE Trans. Nucl. Sci. 2017, 64, 2098–2106. [Google Scholar] [CrossRef]
  9. D’Aniello, F.; Tettamanti, M.; Shah, S.A.A.; Mattiazzo, S.; Bonaldo, S.; Vadalà, V.; Baschirotto, A. Single-Event Upset Characterization of a Shift Register in 16 nm FinFET Technology. Electronics 2025, 14, 1421. [Google Scholar] [CrossRef]
  10. Berg, M.D.; Kim, H.S.; Phan, A.M.; Seidleck, C.M.; LaBel, K.A.; Pellish, J.A.; Campola, M.J. The effects of race conditions when implementing single-source redundant clock trees in triple modular redundant synchronous architectures. In Proceedings of the Radiation Effects on Components and Systems (RADECS 2016) Conference, Bremen, Germany, 19–23 September 2016. [Google Scholar]
  11. Andjelkovic, M.; Schrape, O.; Breitenreiter, A.; Krstic, M. SET and SEU Hardened Clock Gating Cell. In Proceedings of the 2023 38th Conference on Design of Circuits and Integrated Systems (DCIS), Málaga, Spain, 15–17 November 2023. [Google Scholar] [CrossRef]
  12. Shuler, R.L.; Balasubramanian, A.; Narasimham, B.; Bhuva, B.L.; O’ Neill, P.M.; Kouba, C. The Effectiveness of TAG or Guard-Gates in SET Suppression Using Delay and Dual-Rail Configurations at 0.35 μm. IEEE Trans. Nucl. Sci. 2006, 53, 3428–3431. [Google Scholar] [CrossRef]
  13. Wirth, G.; Kastensmidt, F.L.; Ribeiro, I. Single Event Transients in Logic Circuits—Load and Propagation Induced Pulse Broadening. IEEE Trans. Nucl. Sci. 2008, 55, 2928–2935. [Google Scholar] [CrossRef]
  14. Teifel, J. Self-Voting Dual-Modular-Redundancy Circuits for Single-Event-Transient Mitigation. IEEE Trans. Nucl. Sci. 2008, 55, 3435–3439. [Google Scholar] [CrossRef]
  15. Smith, F. Overhead and Performance Comparison of SET Fault Tolerant Circuits Used in Flash-based FPGAs. Int. J. Electr. Electron. Eng. Telecommun. 2021, 10, 76–82. [Google Scholar] [CrossRef]
  16. Seifert, N.; Shipley, P.; Pant, M.D.; Ambrose, V.; Gill, B. Radiation-induced clock jitter and race. In Proceedings of the 2005 IEEE International Reliability Physics Symposium, San Jose, CA, USA, 17–21 April 2005. [Google Scholar]
  17. Nurmi, J.; Isoaho, J.; Jantsch, A.; Tenhunen, H. Interconnect-Centric Design for Advanced SoC and NoC; Springer: Berlin/Heidelberg, Germany, 2004. [Google Scholar]
  18. Wang, H.-B.; Mahatme, N.; Chen, L.; Newton, M.; Li, Y.-Q.; Liu, R.; Chen, M.; Bhuva, B.L.; Lilja, K.; Wen, S.-J.; et al. Single-Event Transient Sensitivity Evaluation of Clock Networks at 28-nm CMOS Technology. IEEE Trans. Nucl. Sci. 2016, 63, 385–391. [Google Scholar] [CrossRef]
  19. Chipana, R.; Kastensmidt, F.L.; Tonfat, J.; Reis, R. SET susceptibility estimation of clock tree networks from layout extraction. In Proceedings of the 2012 13th Latin American Test Workshop (LATW), Quito, Ecuador, 10–13 April 2012. [Google Scholar] [CrossRef]
  20. Chellappa, S.; Clark, L.T.; Holbert, K.E. A 90-nm Radiation Hardened Clock Spine. IEEE Trans. Nucl. Sci. 2012, 59, 1020–1026. [Google Scholar] [CrossRef]
  21. Shivakumar, P.; Kistler, M.; Keckler, S.W.; Burger, D.; Alvisi, L. Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings of the International Conference on Dependable Systems and Networks, Bethesda, MD, USA, 23–26 June 2002. [Google Scholar] [CrossRef]
  22. Anglada, M.; Canal, R.; Aragón, J.L.; González, A. Fast and Accurate SER Estimation for Large Combinational Blocks in Early Stages of the Design. IEEE Trans. Sustain. Comput. 2021, 6, 427–440. [Google Scholar] [CrossRef]
  23. Andjelković, M. A methodology for characterization, modeling and mitigation of single event transient effects in CMOS standard combinational cells. Ph.D. Thesis, Universität Potsdam, Potsdam, Germany, 2021. [Google Scholar]
  24. Ferlet-Cavrois, V.; Pouget, V.; McMorrow, D.; Schwank, J.R.; Fel, N.; Essely, F.; Flores, R.S.; Paillet, P.; Gaillardin, M.; Kobayashi, D.; et al. Investigation of the Propagation Induced Pulse Broadening (PIPB) Effect on Single Event Transients in SOI and Bulk Inverter Chains. IEEE Trans. Nucl. Sci. 2008, 55, 2842–2853. [Google Scholar] [CrossRef]
  25. Black, J.D.; Dodd, P.E.; Warren, K.M. Physics of Multiple-Node Charge Collection and Impacts on Single-Event Characterization and Soft Error Rate Prediction. IEEE Trans. Nucl. Sci. 2013, 60, 1836–1851. [Google Scholar] [CrossRef]
  26. Evans, A.; Glorieux, M.; Alexandrescu, D.; Polo, C.B.; Ferlet-Cavrois, V. Single event multiple transient (SEMT) measurements in 65 nm bulk technology. In Proceedings of the 16th European Conference on Radiation and Its Effects on Components and Systems (RADECS), Bremen, Germany, 19–23 September 2016. [Google Scholar] [CrossRef]
  27. Hazucha, P.; Svensson, C. Impact of CMOS technology scaling on the atmospheric neutron soft error rate. IEEE Trans. Nucl. Sci. 2000, 47, 2586–2594. [Google Scholar] [CrossRef]
  28. Seifert, N.; Tam, N. Timing vulnerability factors of sequentials. IEEE Trans. Device Mater. Reliab. 2004, 4, 516–522. [Google Scholar] [CrossRef]
  29. Dodd, P.E.; Shaneyfelt, M.R.; Felix, J.A.; Schwank, J.R. Production and propagation of single-event transients in high-speed digital logic ICs. IEEE Trans. Nucl. Sci. 2004, 51, 3278–3284. [Google Scholar] [CrossRef]
  30. Li, Y.; Chen, L.; Nofal, I.; Chen, M.; Wang, H.; Liu, R.; Chen, Q.; Krstic, M.; Shi, S.; Guo, G.; et al. Modeling and analysis of single-event transient sensitivity of a 65 nm clock tree. Microelectron. Reliab. 2018, 87, 24–32. [Google Scholar] [CrossRef]
  31. Tange, O. GNU Parallel 20241222 (‘Bashar’) [Stable]. Available online: https://zenodo.org/records/14550073 (accessed on 17 July 2025). [CrossRef]
  32. The OpenROAD Project. Available online: https://theopenroadproject.org/ (accessed on 14 September 2025).
  33. Wolf, C. Yosys Open SYnthesis Suite. Available online: https://yosyshq.net/yosys/ (accessed on 14 September 2025).
  34. Parallax Software, Inc. OpenSTA Static Timing Analyzer. Available online: https://github.com/The-OpenROAD-Project/OpenSTA (accessed on 14 September 2025).
  35. Jagannathan, S.; Loveless, T.D.; Bhuva, B.L.; Gaspard, N.J.; Mahatme, N.; Assis, T.; Wen, S.-J.; Wong, R.; Massengill, L.W. Frequency Dependence of Alpha-Particle Induced Soft Error Rates of Flip-Flops in 40-nm CMOS Technology. IEEE Trans. Nucl. Sci. 2012, 59, 2796–2802. [Google Scholar] [CrossRef]
  36. Mahatme, N.N.; Jagannathan, S.; Loveless, T.D.; Massengill, L.W.; Bhuva, B.L.; Wen, S.-J.; Wong, R. Comparison of Combinational and Sequential Error Rates for a Deep Submicron Process. IEEE Trans. Nucl. Sci. 2011, 58, 2719–2725. [Google Scholar] [CrossRef]
  37. Ferlet-Cavrois, V.; Massengill, L.W.; Gouker, P. Single Event Transients in Digital CMOS—A Review. IEEE Trans. Nucl. Sci. 2013, 60, 1767–1790. [Google Scholar] [CrossRef]
  38. Sugisaki, H.; Nakajima, R.; Sugitani, S.; Furuta, J.; Kobayashi, K. Frequency Dependency of Soft Error Rates Based on Dynamic Soft Error Measurements. In Proceedings of the 2023 International Conference on IC Design and Technology (ICICDT), Kashiwa, Japan, 19–21 June 2023. [Google Scholar] [CrossRef]
Figure 1. Hierarchical structure of a three-level clock tree, illustrating the root (Level 0), intermediate (Level 1), and leaf (Level 2) buffers driving flip-flops. Red arrows indicate the potential SET propagation.
Figure 1. Hierarchical structure of a three-level clock tree, illustrating the root (Level 0), intermediate (Level 1), and leaf (Level 2) buffers driving flip-flops. Red arrows indicate the potential SET propagation.
Chips 04 00039 g001
Figure 2. Multi-stage design and analysis workflow detailing SET vulnerability assessment from RTL to post-layout, with feedback loops for Clock Tree Synthesis (CTS) analysis and ECO-based mitigation.
Figure 2. Multi-stage design and analysis workflow detailing SET vulnerability assessment from RTL to post-layout, with feedback loops for Clock Tree Synthesis (CTS) analysis and ECO-based mitigation.
Chips 04 00039 g002
Figure 3. Detailed view of the simulation environment for SET propagation analysis. It includes a Faulty Clock Tree, a Reference Clock Tree, and a Verifier block that also emulates the FF loads.
Figure 3. Detailed view of the simulation environment for SET propagation analysis. It includes a Faulty Clock Tree, a Reference Clock Tree, and a Verifier block that also emulates the FF loads.
Chips 04 00039 g003
Figure 4. Yosys’s top-level design summary, OpenSTA’s detailed clock tree analysis, and the OpenROAD layout showing the SET filter instantiations.
Figure 4. Yosys’s top-level design summary, OpenSTA’s detailed clock tree analysis, and the OpenROAD layout showing the SET filter instantiations.
Chips 04 00039 g004
Figure 5. Clock Distribution Network and Critical Net Management. (a) Original clock distribution, identifying critical (red) and less critical (green) nets. (b) Modified distribution after applying mitigation strategies, with an inserted SET filter.
Figure 5. Clock Distribution Network and Critical Net Management. (a) Original clock distribution, identifying critical (red) and less critical (green) nets. (b) Modified distribution after applying mitigation strategies, with an inserted SET filter.
Chips 04 00039 g005
Figure 6. Probability of SET propagation ( P p r o p ) versus number of clock leaves ( L ) for N F F under identical SET injection ( Q i n j = 100   fF ). Level-1 buffers are BUF4X in all cases. (a) 65 nm (GP), leaf BUF2X. (b) 65 nm (GP), leaf BUF4X. (c) 28 nm (HPC), leaf BUF2X. (d) 28 nm (HPC), leaf BUF4X.
Figure 6. Probability of SET propagation ( P p r o p ) versus number of clock leaves ( L ) for N F F under identical SET injection ( Q i n j = 100   fF ). Level-1 buffers are BUF4X in all cases. (a) 65 nm (GP), leaf BUF2X. (b) 65 nm (GP), leaf BUF4X. (c) 28 nm (HPC), leaf BUF2X. (d) 28 nm (HPC), leaf BUF4X.
Chips 04 00039 g006
Figure 7. SET-induced vulnerability and BER in the FIFO. (a) Observed vulnerability trends in READ and WRITE modes as a function of clock net count. (b) BER behavior as a function of clock period ( T C L K ) for different WRITE and READ injection modes.
Figure 7. SET-induced vulnerability and BER in the FIFO. (a) Observed vulnerability trends in READ and WRITE modes as a function of clock net count. (b) BER behavior as a function of clock period ( T C L K ) for different WRITE and READ injection modes.
Chips 04 00039 g007
Figure 8. Effect of FIFO parameters on normalized clock-tree Vulnerability Function (VF). (a) VF per bit (VF/DW) versus Data Width (DW) at fixed depth FD = 64. (b) VF per entry (VF/FD) versus FIFO depth FD at fixed DW = 8.
Figure 8. Effect of FIFO parameters on normalized clock-tree Vulnerability Function (VF). (a) VF per bit (VF/DW) versus Data Width (DW) at fixed depth FD = 64. (b) VF per entry (VF/FD) versus FIFO depth FD at fixed DW = 8.
Chips 04 00039 g008
Figure 9. Vulnerability versus clock frequency ( f c l k ) at a constant SET rate of λ = 1 × 10 7 eps. (a) WRITE and READ vulnerability vs. f c l k (b) Clock-tree, datapath, and total vulnerability vs. f c l k .
Figure 9. Vulnerability versus clock frequency ( f c l k ) at a constant SET rate of λ = 1 × 10 7 eps. (a) WRITE and READ vulnerability vs. f c l k (b) Clock-tree, datapath, and total vulnerability vs. f c l k .
Chips 04 00039 g009
Figure 10. Frequency-dependent error rates in the FIFO due to SETs in the clock tree (λ = 1 × 107 eps). (a) Compares the error rate during READ versus WRITE operations. (b) Illustrates the total errors per kilobit for clock-low (INJ MODE = 0) and clock-high (INJ MODE = 1) SET injections.
Figure 10. Frequency-dependent error rates in the FIFO due to SETs in the clock tree (λ = 1 × 107 eps). (a) Compares the error rate during READ versus WRITE operations. (b) Illustrates the total errors per kilobit for clock-low (INJ MODE = 0) and clock-high (INJ MODE = 1) SET injections.
Chips 04 00039 g010
Table 1. Comparative Analysis of SET Mitigation Strategies in Clock Trees.
Table 1. Comparative Analysis of SET Mitigation Strategies in Clock Trees.
MethodologyArea
Overhead
Power
Overhead
Key Aspects
TMR3x→6x 1~3x 1Best suited for mission-critical, low-frequency designs.
DMR10–24% less than TMR 1~2x 1Errors occur only if both replicas glitch at once, providing single-bit upset reliability like TMR.
SET FilterLow/Moderate
(single-digit to low-tens-percent overhead) 2
Minimal to modest power overhead 2.Filters short SET pulses; best for high-risk nodes.
1 Relative to a single module and including majority voters. Based on [14]. 2 Based on FPGA implementation [15].
Table 2. Vulnerability Comparison for Dual Clock FIFO.
Table 2. Vulnerability Comparison for Dual Clock FIFO.
Design VersionOperationClock PhaseVulnerabilityTotal (Averaged)
OriginalREADCLK Low3.583.32
CLK High3.05
WRITECLK Low4.914.91
CLK High4.91
This methodologyREADCLK Low0.660.99
CLK High1.32
WRITECLK Low1.101.84
CLK High4.08
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Saenz-Noval, J.J.; Gatti, U.; Calligaro, C. A Comprehensive Methodology for Soft Error Rate (SER) Reduction in Clock Distribution Network. Chips 2025, 4, 39. https://doi.org/10.3390/chips4040039

AMA Style

Saenz-Noval JJ, Gatti U, Calligaro C. A Comprehensive Methodology for Soft Error Rate (SER) Reduction in Clock Distribution Network. Chips. 2025; 4(4):39. https://doi.org/10.3390/chips4040039

Chicago/Turabian Style

Saenz-Noval, Jorge Johanny, Umberto Gatti, and Cristiano Calligaro. 2025. "A Comprehensive Methodology for Soft Error Rate (SER) Reduction in Clock Distribution Network" Chips 4, no. 4: 39. https://doi.org/10.3390/chips4040039

APA Style

Saenz-Noval, J. J., Gatti, U., & Calligaro, C. (2025). A Comprehensive Methodology for Soft Error Rate (SER) Reduction in Clock Distribution Network. Chips, 4(4), 39. https://doi.org/10.3390/chips4040039

Article Metrics

Back to TopTop