Next Article in Journal
A Novel Sliding-Mode Control Strategy Based on Exponential Reaching Law for Three-Phase AC/DC Converter
Previous Article in Journal
MPSR: A Multi-Perspective Self-Reflection Framework for Public Opinion Report Generation
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Research Progress and Design Considerations of High-Speed Current-Mode Driver ICs

1
Micius Laboratory, Zhengzhou 450047, China
2
Institute of RF and OE-ICs, Southeast University, Nanjing 211189, China
3
Purple Mountain Laboratories, Nanjing 211111, China
*
Author to whom correspondence should be addressed.
Electronics 2026, 15(2), 405; https://doi.org/10.3390/electronics15020405
Submission received: 7 November 2025 / Revised: 5 January 2026 / Accepted: 9 January 2026 / Published: 16 January 2026
(This article belongs to the Special Issue Optical Communication Systems and Networks)

Abstract

The current-mode logic (CML) driver has evolved alongside integrated circuit (IC) technology. Its typical structure contains a tail current source, differential amplifying transistors, and load resistors. It is widely used in modern optical transceivers and other serial link transceivers, and is compatible with various processes, including CMOS, SiGe BiCMOS, and InP DHBT. The basic performance indicators of CML driver include gain, bandwidth, power, and total harmonic distortion (THD). For different application scenarios, different tail currents and load resistance are required. Nowadays, as the performance requirements for drivers in various applications continue to increase, more techniques need to be employed to balance high speed, high output amplitude, high linearity, and low power, such as bandwidth expansion techniques, linearity improvement techniques, and gain control techniques. In this review, the electrical characteristics of basic CML circuits are highlighted and compared with other interface level standards. The advancement of CML drivers is summarized. Emerging CML structures and performance enhancement technologies are introduced and analyzed. Design considerations are concluded in terms of the challenges faced by high-speed drivers. The review provides comparative study and comprehensive reference for designers.

1. Introduction

Analog or digital signal transmission is inevitable in modern electronic equipment. Many interface standards have been proposed for different application scenarios, from low-speed Transistor–Transistor Logic (TTL) [1], Complementary Metal-Oxide–Semiconductor (CMOS) logic standards to high-speed Low-Voltage Differential Signal (LVDS) [2], Low-Voltage Positive Emitter Couple Logic (LVPECL), and Current Mode Logic (CML) standards. These interface standards are different in level, transfer rate, and coupling, as summarized in Table 1. In the early days of the electronics industry, TTL and CMOS standards were commonly applied to computing and industrial control equipment. As the data rates in these applications increased, TTL gradually became obsolete. With the continuous scaling of CMOS processes, the maximum switching rate of MOS transistors is continuously increasing, and the CMOS logic level has gradually dropped from 5 V to below 1.2 V due to the falling of transistors’ breakdown voltage, which cuts the power consumption, and also noise margin. Currently, CMOS logic level is widely used in digital circuits such as analog-to-digital/digital-to-analog converters (ADC/DAC), sensors, and memory devices. ECL differential circuit has strong driving strength and high speed, but consumes a relatively large amount of power. PECL evolves from the ECL standard and uses a +5 V power supply, which simplifies power system design. LVPECL reduces the supply voltage to 3.3 V or 2.5 V, further lowering power consumption. It is commonly used for clock distribution networks and fiber optic communication module interfaces. LVDS is specifically designed for low-voltage, low-power, differential, end-to-end signal transmission. It is used for electronic products such as PCB-level interfaces. CML is featured by high speed, medium power consumption, and compatibility with process and interfaces. Its simple differential structure has the advantages of common-mode noise rejection and low parasitics, enabling data transmission at tens of gigabits per second. After minor structural modifications, different logical functions can be achieved, such as latch, flip-flop (FF), multiplier, multiplexer, divider, and exclusive OR [3,4,5,6].
The main amplifying transistors in the CML circuit operate in switching mode when transmitting digital “0/1” signals and in linear amplification mode when transmitting analog signals. Similarly, analog functional circuits, such as comparator, mixer, and active balun, can be implemented through slight structural adaptations of CML-based topologies. As for high-speed CML drivers, the criteria for evaluating the performance include input and output return loss, bandwidth, gain, power consumption, energy efficiency, and total harmonic distortion (THD). Indicators for RF amplifiers such as 1 dB compression point ( P 1 dB ) can also be used for driver linearity evaluation. As data rate increases, more and more design challenges occur to meet and balance these criteria. Moreover, drivers are required to implement more complex functions to cater to a wide variety of scenarios. In recent years, many studies have focused on innovative optimization of CML drivers for higher data rates and more diverse voltage level standards. The objective of this review is to summarize these innovative advancements and practical experiences. The overview diagram is depicted in Figure 1. Section 2 introduces the basic structure, principles, and definitions of various technical parameters of the CML driver, and recent CML research progress is summarized. Section 3 and Section 4 discuss the bandwidth and amplitude optimization techniques in typical CML structures. Section 5 introduces various CML driver structures for different applications. Design considerations of CML circuits are discussed in Section 6, and Section 7 finally concludes the review and discusses future prospects.

2. Research Background and Progress

2.1. CML Circuit Principles

As shown in Figure 2, the basic CML structure is an HBT or MOS differential pair with a constant current source and load resistors, where the logic function is implemented by steering the current between alternate paths. Common-base or common-gate transistors can be employed to construct a cascode structure for high-supply headroom applications. When V i n and V i p are equal, the two branches are symmetrical, and the currents are equal to I s / 2 , resulting in equal V o n and V o p . When a differential small signal is input into the amplifier, the circular current across the two branches is g m v i n , d m / 2 . Thus, the differential output voltage can be derived by calculating the voltage difference across the resistors R:
v o u t = 2 R i c = g m R v i n , d m
Thus, the voltage gain A v is g m R . The difference is that the transconductance g m is I s / ( V G S V t h ) for the MOSFET pair, and I s / ( 2 k T / q ) for the heterojunction bipolar transistor (HBT) pair. The input impedance of MOSFET is infinite while HBT is not. When the input signal is large enough to drive the tail current through only one branch, the differential pair works as a limiting amplifier (LA), whose output amplitude depends on the tail current and load resistor ( I s R ).
For the HBT-based differential pair, the linear input range is around 4 v T , while the counterpart for the MOSFET differential pair is 2 ( V G S V t h ) [7]. Under the same conditions, the linear input range of the HBT differential pair is smaller than that of the MOSFET differential pair, while the gain is opposite, which means that the input amplitude of the MOSFET amplifier reaching the maximum output amplitude is higher.
The transfer function considering the output capacitance is
H ( s ) = V o u t V i n = g m R 1 + s R C L
Typically, the dominant pole that determines the small signal bandwidth depends on the RC constant of the output node: ω 3 dB = 1 / R C L . C L consists of parasitic capacitance and load capacitance [8]. The input pole induced by the Miller effect of the C-B or D-G parasitic capacitor can also influence the overall bandwidth. In addition to bandwidth, group delay τ is another important frequency-related indicator that can be derived from the phase-frequency characteristics φ ( ω ) of a system:
τ ( ω ) = d φ ( ω ) d ω
During circuit design of drivers, group delay should be carefully evaluated to prevent signal distortion.
The DC characteristics of CML drivers are measured by a voltage source and a multimeter. The AC performances, such as gain, bandwidth, and group delay, are characterized by S parameters measured by vector network analyzers. The transient waveform properties are characterized by an eye diagram plotted by oscilloscopes. Signal quality factors like eye height, eye width, jitter, and ratio of level mismatch (RLM) can be measured from the eye diagram.

2.2. Various Load Characteristics of CML Drivers

As high-speed CML drivers are used in optical transceivers, serializer–deserializer (SerDes), and other data transmitting systems, different load characteristics need to be considered, including the following aspects:
  • Coupling: DC or AC. If the driver is directly coupled to the load, it is necessary to set the output DC operating point according to the load characteristics. Open-drain (OD) or open-collector (OC) structures can be adopted to place the terminating resistors at the far-end. If not, the circuit can be self-biased at a proper level. For optical transmitter applications, emerging silicon photonics (SiPh) technologies heterogeneously integrate photonic devices and electronic devices on the same substrate. As a consequence, the driver output can be directly coupled to the optical modulator without the need for DC block and packaging wires, which increases the integration, power efficiency, and signal quality.
  • Wiring: single-ended or differential. If the load is single-ended, only one terminal is connected out, which is not conducive to the balance of current signals flowing across differential branches. Integrating a dummy load to another terminal is a feasible solution. An unbalanced CML structure can also be adopted to fit the DC point and AC performance with a specific load [9,10]. For CMOS technologies scaling down to 7 nm or below, differential connection is more essential given the limited voltage headroom below 0.8 V.
  • Impedance matching. Regardless of the coupling and wiring method, it is crucial to maintain the continuity of the characteristic impedance on the signal transmission link. For a standard circuit system, the terminating resistor R is fixed to 50 Ω , and the output amplitude is determined by the tail current. But drivers used for optical modulators and other non-electrical devices have a non-standard load impedance. For load characteristic impedance higher than 50 Ω , the terminating resistor R can be set higher to match output load and lower tail current while maintaining the same output amplitude [11]. If the characteristic impedance is very low, on the other hand, the implementation of high-swing CML drivers is very power-consuming. Optimized structures such as push–pull CML can be adopted to improve the driving strength. The driver structures suitable for different load characteristics are described in Section 5.

2.3. Research Progress

Since the 1980s, a 100x increase in data rates has been witnessed [12]. Driven by advances in circuit topologies, packages, manufacturing processes, encoding, and modulation, the industrial signal baud rate evolves by doubling in approximately 6 years, as depicted in Figure 3. The feature size of CMOS technology has been scaled down to 2 nm [13]. High-order signal modulation technologies enable data transmission over long distances with lower bandwidth demand. For example, four-level pulse amplitude modulation (PAM4) has twice the data rate of non-return-to-zero (NRZ) signal at the same baud rate. Packages such as Co-packaged Optics (CPO), Wafer level Chip Scale Package (WLCSP), and Chiplet improve the connection density and data throughput among chips [14]. Circuit innovations are also required to address more issues brought by high data rates. Table 2 summarizes state-of-the-art CML drivers used for different applications from the following aspects:
  • Technology. CMOS is the most common technology, where the feature size of MOSFET plays the major role in the cut-off frequency f T . For SiGe HBT, GaAs pHEMT, and InP DHBT, f T is determined by many device properties aside from feature size. The breakdown voltage of the transistors limits the driver’s maximum swing.
  • Data rate. Basically, the maximum achievable data rate is limited by the transistor’s cut-off frequency f T , unless numerous bandwidth extension techniques are adopted, whereas PAM4 and PAM8 signal modulation reduce bandwidth demand with minimal increases in circuit complexity, thereby improving power efficiency (FoM).
  • Output swing, power supply, and FoM. Generally, the higher the required signal amplitude, the greater the power supply and power consumption, and the more difficult it is to achieve high linearity. Typically, driver ICs in CMOS technology have better FoM because of the advantageous structures and low power supply.
  • Application. For serial links, high data rate and power efficiency are the top priorities, so CMOS technologies are most commonly chosen. For EOMs such as MZM and MRM, the load characteristic impedance is not the standard 50 Ω , and high electrical amplitude is required to achieve high optical modulation amplitude (OMA), so SiGe BiCMOS, InP DHBT, and pHEMT technologies are more advantageous for their high f T , g m , and breakdown voltage. Especially, direct modulation lasers such as VCSEL and DFB are single-ended current-driven modulators, so the corresponding driver has a relatively low voltage swing and high power consumption.
  • Architecture and technique. Some driver ICs only amplify the input signal, so their structures typically comprise VGA, EQ, PreAmp, and output driver. Some transmitter ICs incorporate additional functions such as multiplexing and PAM4 encoding, so their architectures include serializers. Most designs focus on innovations in equalization, bandwidth expansion, gain control, and termination strategies, resulting in improvements in equalizer tuning range, driver linearity, data rate, and power efficiency. Notably, equalizers have become essential in modern communication systems to extend the system-level channel capacity. Among the diverse equalizer architectures, CTLE and FFE are most commonly integrated in CML drivers and transmitters. Structured as a digital or analog high-pass finite impulse response (FIR) filter, FFE increases complexity, power, and area compared to CTLE, but it can be precisely tuned to counteract the loss of a known channel without amplifying noise.
Table 2. Summary of representative CML drivers for various applications.
Table 2. Summary of representative CML drivers for various applications.
TechnologyYearData Rate & ModulationSwing ( V pp )Power Supply (V)FoM (pJ/bit)ApplicationArchitecture & Technical Highlights
65 nm CMOS2020 [15]112 Gb/s PAM40.72 (Dif.)1.22.17Serial linkSerializer + linearity-optimized driver with fractional-spaced FFE
40 nm CMOS2025 [16]96 Gb/s PAM81.6 (Dif.)1.2 & 2.23.64Serial linkSerializer + cascode driver with variable FFE
28 nm CMOS2019 [17]40 Gb/s PAM40.9 (Dif.)0.90.5Serial linkSerializer + SST-CML driver with 3-tap hybrid-path FFE
28 nm CMOS2025 [18]50 Gb/s PAM41.07 (Dif.)1 & 1.11.45Serial linkSerializer + two-type hybrid driver + pre-emphasis EQ
65 nm CMOS2017 [19]80 Gb/s PAM42 (Dif.)2.52.25EOMsVGA + variable EQ + OD driver
150 nm 110 GHz GaAs pHEMT2021 [20]30 Gb/s PAM43-5.240.9Laser DiodeTwo-slice CML driver with back-termination
65 nm CMOS2024 [21]32 Gb/s NRZ 0.08 /12DFBCTLE + buffer + Output driver with active back-termination
65 nm CMOS2023 [9]25 Gb/s NRZ0.42.20.83VCSELUnbalanced CML driver
28 nm CMOS2017 [22]40 Gb/s NRZ/1 & −1.10.5VCSELTunable EQ + CML driver
14 nm CMOS2017 [23]42 Gb/s NRZ0.6/1.94VCSELUnbalanced driver + edge detector with differentiator
130 nm 250 GHz SiGe BiCMOS2023 [24]25 Gb/s NRZ0.321.8 & 2.5 & 3.35.49VCSELCML drivers with pre-equalization
130 nm 250 GHz SiGe BiCMOS2016 [25]40 Gb/s NRZ2 (Dif.)2.52.25MRMCascaded drivers with current-density optimization
250 nm 220 GHz SiGe BiCMOS2021 [26]25 Gb/s NRZ3 (Dif.)2.5 & 4.513.16MRMCascaded CML buffers
250 nm 190 GHz SiGe BiCMOS2022 [27]37 Gb/s NRZ7.6 (Dif.)6.439MZMCML OC driver with negative capacitor
180 nm 290 GHz SiGe BiCMOS2024 [28]4 × 112 Gb/s PAM43 (Dif.)3.34.8MZMActive feedback CTLE + VGA + OC driver
55 nm 300 GHz SiGe BiCMOS2017 [29]168 Gb/s PAM83.8 (Dif.)2.5 & 3.3 & 64.88MZMPreAmp + SSEFPP driver
90 nm 310 GHz SiGe BiCMOS2024 [30]80 Gb/s PAM43.2 (Dif.)3.3 & 6.513.75MZMVGA + CTLE + SEFPP driver with asymmetric T-coil peaking
250 nm 400 GHz InP DHBT2016 [31]112 Gb/s PAM41.8 (Dif.)57.5MZMVGA + distributed CML Bufs
500 nm 350 GHz InP DHBT2025 [32]200 Gb/s PAM44 (Dif.)−5.24.3EOMsPreAmp + OC driver with R-C base ballast
DHBT: Double Hetero-junction Bipolar Transistor; pHEMT: pseudomorphic High Electron Mobility Transistor; VCSEL: Vertical Cavity Surface Emitting Laser; BiCMOS: Bipolar CMOS; MRM: Micro-Ring Modulator; MZM: Mach–Zehnder Modulator; DFB: Distributed Feedback Laser; VGA: Variable Gain Amplifier; SST: Source-Series Terminated; CTLE: Continuous Time Linear Equalizer; FFE: Feed Forward Equalizer; EQ: Equalizer; OD: Open drain; OC: Open collector; PreAmp: pre-amplifier; SEFPP: single emitter follower push–pull; SSEFPP: Series-stacked EF push–pull; EOM: Electro-optic modulator; Dif: Differential; Figure of Merit (FoM) = Power/Data-Rate.
Figure 3. The evolution of serial communication rate.
Figure 3. The evolution of serial communication rate.
Electronics 15 00405 g003

3. Bandwidth Expansion Techniques

3.1. Shunt Inductive and T-Coil Peaking Technique

The CML circuit with shunt inductive peaking technique employed is depicted in Figure 4a. Load inductors expand the bandwidth by introducing a zero L / 2 π R at high frequency, as shown in Equation (4). It partly compensates for the pole of load capacitor. As for the design of the inductor, the line width, Q factor, and area occupation need to be balanced to ensure the performance and reliability. Sometimes, active inductors can take the place of passive inductors to save area at the expense of supply voltage margin. Its equivalent inductance is L R f C p / g m 1 [33].
H ( s ) = G m Z o u t = g m 1 s C L | | ( R + s L ) = g m R + s L L C L s 2 + R C L s + 1
T-coil is another inductor-based method to further compensate for the influence of load capacitance, as shown in Figure 4b. According to [34], its transfer function has four poles and two zeros that are related to L 1 , L 2 , and C L . By properly selecting the inductors’ values, two poles and two zeros can be canceled. The optimal bandwidth of the T-coil-based driver is commonly better than that of the shunt-inductive-based driver. But excessive peaking inductance may cause signal overshoot and deteriorate eye diagram opening, which needs to be designed according to actual chip and channel conditions [35].

3.2. Capacitor and Resistor Degeneration Technique

Capacitors and resistors can also be used for expanding the bandwidth. As shown in Figure 5a, a capacitor and a resistor separate the tail current of the differential pair into two branches. This configuration is also referred to as CTLE. The negative feedback yields a zero and two poles in the transfer function Equation (5), which improves linearity and bandwidth at a slight cost of low-frequency gain.
H ( s ) = g m R L | | 1 s C L 1 + g m R s | | 1 s C s / 2 = g m R L 1 + g m R s / 2 1 + s R s C s ( 1 + s R L C L ) 1 + s R s C s / 1 + g m R s / 2
The low-frequency gain is approximately 2 R / R s given the negative feedback. In practical design, varactors and MOS linear resistors are usually selected as degeneration resistors and capacitors to make gain and bandwidth adjustable in practical applications, as shown in the dashed box of Figure 5a [36]. Resistor and capacitor array with MOS switches is another scheme used to digitally trim the resistance and capacitance [37,38]. Its typical effect on AC response of the differential pair is shown in Figure 5b, where the resistance mainly affects the low-frequency gain and the capacitance primarily influences the high-frequency peaking. During layout design, both ends of the capacitor and resistor should be regarded as high-speed nodes, and unwanted parasitic capacitance should be avoided as much as possible.

3.3. Negative Capacitor Technique

Input capacitance can be lowered by a negative Miller capacitor. As shown in Figure 6, each Miller capacitor is connected across one input and the other output of the differential pair. According to the Miller effect, the equivalent input capacitance can be expressed as
C c , i n = C c ( 1 g m R L )
The equivalent input parasitic capacitance of the original differential pair is
C p , i n = C x + C y ( 1 + g m R L )
Thus, the total input capacitance is
C i n = C c , i n + C p , i n = C c ( 1 g m R L ) + C y ( 1 + g m R L ) + C x
By selecting an appropriate C c capacitance to make it equal to C y , total input capacitance can be reduced to
C i n = 2 C y + C x
The key to fully leveraging this technique lies in comprehensive simulation to guarantee consistency among circuit design, layout, and manufacturing implementation. Moreover, the positive output Miller capacitor introduced by C c causes the output pole to shift forward, which requires balancing overall bandwidth during system design. Output capacitance can be lowered by negative capacitor circuit consisting of cross-coupled transistors with a degeneration capacitor, as shown in the dashed box of Figure 6. As | s C x | is much smaller than g m , the impedance looking into this circuit can be simplified as follows:
Z n c = 1 s C n g m + s ( C x + 2 C n ) g m s C x 1 s C n C x C n + 2 1 g m
The negative capacitor and resistor create a zero ( ω z = 1 / C n C x / C n + 2 / g m ) in the transfer function, which improves the gain and bandwidth [39,40,41].

3.4. f T Doubling Technique

The f T doubling technique is another technique used to lower input capacitance [37,40]. As shown in Figure 7a, the differential signals are fed into two differential pairs with parallel output. The other side of each differential pair is fed with common-mode bias voltage. Its gain is identical to a single differential pair as the transistors’ size and load resistance are the same, whereas its input capacitance halves, as depicted in Figure 7b. This phenomenon is similar to doubling the transition frequency f T of transistors. However, this structure doubles the power and increases the parasitic capacitance from the tail current nodes and output nodes, which may worsen the actual performance. Thus, it is vital to plan the layout rationally to minimize parasitic capacitance.
The above bandwidth expansion techniques are compared in Table 3. The voltage headroom of these techniques is largely associated with the adopted driver architecture. The techniques are usually employed in combination to adapt to different loads and channel characteristics, especially for communication links above 80 GBaud. But increasing the high-frequency peak is not always beneficial given the group-delay flatness and other stability issues, which need to be considered and optimized during electromagnetic simulations. For example, the maximum group delay variation should be limited to a 0.2 unit interval (UI) within the bandwidth for VCSEL transmitters [42], and the self-resonant frequency (SRF) of inductors and capacitors should be designed far beyond the signal bandwidth in case of oscillation.

4. Amplitude and DC Level Adjustment Techniques

Apart from bandwidth, gain and output level are other important driver performance indicators that need to be adjustable in applications such as automatic test equipment (ATE). As V o u t = V C C I s R , A v = g m R o u t , g m , M O S I s , and g m , H B T I s , gain adjustment mainly focuses on changing tail current, transconductance, and output resistance. As mentioned earlier, the gain can be roughly adjusted by degeneration resistor or enhanced by negative resistor. More accurate and flexible adjustments can be realized with the following methods.

4.1. Manual Control

4.1.1. Basic Ideas

As the output signal levels are defined by output DC point, input amplitude, and gain of the amplifier, output level adjustment techniques mainly focus on changing these parameters. The input amplitude and DC level are determined by amplifier from prior stages. The output DC level is mainly determined by the tail current and termination resistor R. With regard to the output stage, R is fixed at 50 Ω for impedance matching. Tail current can be adjusted by MOS switches S<n> in an array of MOS current mirrors, the reference current, or a DAC controlling the gate voltage of the MOS current array, which are the most effective digital and analog methods to control the output DC level (and gain). However, changing output DC level on a large scale may push the amplifying transistors into an unwanted operating region, which requires synchronous change of transistor size and input DC level, as shown in Figure 8. Furthermore, the sensitive analog signal transmission from DAC to the gate of MOS current array needs additional shielding like filter capacitors to prevent noise crosstalk, and the resolution and output range of the DAC need to be carefully decided as the variation of tail current with MOS gate voltage follows a square law relationship.

4.1.2. Cross-Coupled Pair Arrays

A CML circuit with cross-coupled pairs can be used for gain adjustment, which is always referred to as VGA. It can be structured as a thermometric array in Figure 9 [43], where only one of the cross-coupled differential pairs in each element is turned on. It can also be structured as a Gilbert cell, as shown in Figure 10. Their basic principles are both to divide input signal into two paths with different current intensities and superpose them inversely at the output node; thus, the output amplitude is relevant to the gain difference of two paths. For the thermometric array, if k and M, respectively, denote the number of turned-on cells and total cells in a half path, the total gain is given by
A t o t = ( 2 k M ) R k n W L I s
where W, L, and k n are the width, length, and technology-related parameters of the transistors, respectively.

4.1.3. Gilbert Cell

Compared to the digital-controlled thermometric array, the Gilbert-cell-based VGA is a continuous-controlled analog solution that does not need large array layout with relatively high input and output parasitic capacitance. To further lower the output capacitance, some designs connect the half output path of the cross-coupled pair directly to power supply (see Figure 10a [40,44,45]). The disadvantages of these structures are that they require relatively high power supply to support desired operating region of the stacked transistors. Non-uniform gain modification and signal distortion may occur at the upper and lower limits of the control voltage because of the operating region change of the common-base transistors. Some VGA designs combine Gilbert cell and cross-coupled array, which make use of the advantages of both structures to realize a more flexible and linear gain adjustment range [36]. In the Gilbert cell, the signal can be input from the common-emitter transistors (Figure 10b) or the cross-coupled pair (Figure 10c). The latter has lower input capacitance and better reverse isolation. Its principle is derived in Appendix A, which demonstrates that the gain of VGA can be controlled by the difference between V c t r l p and V c t r l n .

4.2. Automatic Control Loop

4.2.1. Automatic Gain Control (AGC)

For many applications like optical receivers, output amplitude is supposed to be stable automatically irrespective of input amplitude changes, which can be realized by VGAs with a feedback mechanism. As shown in Figure 11a, a typical AGC loop consists of a peak detector to extract the output peak amplitude as a voltage signal, a difference amplifier to generate VGA gain control signal by comparing the voltage signal with a reference voltage, and a filter capacitor to stabilize the control signal. An exponential function generator can be integrated to realize linear control of VGA gain. The loop model can be abstracted in Figure 11b [40], and the loop gain is given by
L . G . = d V 1 d V c A v k p F s = k p F s V o 0 1 G V c d G V c d V c
where F ( s ) is the loop filter transfer function, G ( V c ) is the VGA gain, and V o 0 denotes a constant peak-to-peak voltage set at the output of the AGC circuit by the external reference voltage V r e f . The derived settling time of the control loop is
τ = C k 2 G m V r e f
where G m is the transconductance of the difference amplifier, and k 2 indicates the dB-linear gain control slope of the exponential function generator. The main concern of the AGC is that the loop gain should remain stable and the lower cut-off frequency 1 / τ of the high-pass settling time function should be smaller than the lowest operating frequency. To this end, the parameters C, G m , and k 2 should be precisely balanced.

4.2.2. DC Offset Cancellation

For cascaded CML drivers constituting LA, mismatch voltage from input transistors could be amplified and bring about tens of millivolts of DC offset at the output. A single DC offset cancellation (DCOC) loop or multiple loops should be adopted to balance differential output DC points. As shown in Figure 12, it is constructed of an RF low-pass filter to extract the center level of signals and a g m cell to compensate for input DC offset [33,37]. With the negative feedback, in theory, the output offset voltage V o s can be reduced to V o s / ( 1 + A A 1 ) . Given the large RC parameters to make the loop bandwidth far below the lowest frequency content of the input signal, some designs leverage MOS resistors and capacitors or Miller capacitive multiplication with the error amplifier to reduce this filter area [46].

5. CML Variants for Different Applications

5.1. CML Circuits for High-Order Modulation Signal Combination

For the generation of differential high-order modulation signals like PAM4 and PAM8, original MSB and LSB data are combined into multi-amplitude modulation signals by a cascaded CML circuit [16]. Taking the PAM4 combiner in Figure 13 as an example, the switch states of two differential input signals form four output levels like a DAC. The ideal tail current ratio of 2:1 between MSB and LSB branches results in three uniformly distributed eyes in the eye diagram. In practical conditions, non-ideal transistor characteristics like channel length modulation effect deteriorate linearity (RLM). Furthermore, the load characteristics of some optical modulators are not simple resistors/capacitors. The electro-optic conversion curve is non-linear, such as MRM [47]; therefore, the tail current ratio needs to be adjustable to pre-distort the eyes with exactly the opposite non-linearity. Auxiliary adjustable FFE or calibration circuits can be employed jointly with main drivers to superpose a signal that precisely compensates for non-ideal characteristics on the output [15,48]. For PAM8 and higher-order modulation, the basic circuit approach is to wire more differential pairs in parallel to generate multi-level output. The higher the modulation order, the lower the required system bandwidth; yet, the encoding, driving, receiving, data recovery, and decoding schemes in the transceiver become far more complex. Also, such a system is more sensitive to noise, linearity, and crosstalk. Consequently, the evolution of modulation has to trade off system complexity, cost, technical feasibility, and power efficiency together.

5.2. Open-Drain (Open-Collector) Driver

OD/OC and push–pull are common topologies used for digital IO interfaces. With regard to CML drivers, OD/OC structures can be used in direct coupled modulators, which place the terminating resistors at the far end of the load, as shown in Figure 14 [27]. As the entire tail current of the driver flows through the load, only half of the tail current and power consumption are required at the same output swing condition, which is more power efficient than back termination topologies. But the impedance of the driver and transmission lines should be carefully designed to match the load characteristic impedance, and the current density through the load should be considered [28].

5.3. Push–Pull CML Driver

The push–pull amplifier is another topology used to save power and improve the driving capability. The CMOS push–pull driver provides tail currents at both the power and ground sides, as shown in Figure 15a. V c m defines the output signal center level, and R L together with tail current determine the output swing [49,50]. Compared to the voltage-mode (VM) push–pull driver, it has better differential symmetry. As for bipolar technologies, because PNP transistors are not suitable for high-speed drivers, NPN transistors are used for both push-up and pull-down networks, as shown in Figure 15b. The push–pull CML structures listed in Table 2 are derived from switched emitter follower (SEF) topology [29]. A cascode CML PreAmp is required to supply EF transistors with proper DC voltage and AC swing. Peaking inductors can be employed to broaden the bandwidth. The current signals driven by cascode transistors and EF transistors are summed at the output node. The transmission delays of the two paths should be matched, otherwise the gain may degrade [30]. Optimized push–pull structures such as SSEFPP provide high swing to drive low-impedance loads such as MZMs without compromising linearity and power efficiency.

5.4. Stacked and Unstacked Tailless CML Driver

For single-ended PAM4 signaling, tailless CML is a more power-efficient structure as it eliminates tail current and reduces static power. As shown in Figure 16, the four PAM4 levels are generated by adding the currents of the MSB or LSB branch to the terminating resistor, similar to a current-steering DAC. The MSB branch provides twice the current of that in the LSB branch [51,52]. Compared to the stacked CML driver (Figure 16a), the unstacked CML driver (Figure 16b) uses one transistor in each branch to achieve both switch and current source functions, which is more compact in size and suitable for <7 nm FinFET technologies with even lower power supply margin. But it supports a smaller output swing tuning range and suffers from impedance mismatch when the switching transistors are turned on. Some studies proposed a hybrid structure [53] and a trimmable termination resistor scheme [54] as solutions. Typically, the N-type CML driver has better bandwidth as NFET has better g m and f T than PFET (Figure 16c). Compared to the CML differential pair, it is more suitable for digital interface applications such as double data rate (DDR) memory.

5.5. CML-SST Hybrid Driver

CML circuits support high-swing applications but lack linearity and power efficiency. SST drivers feature better power efficiency and linearity but have difficulties in increasing output swing, minimizing parasitic capacitance in the output node, and impedance matching. In order to combine the advantages of CML and SST structures, SST-CML-Hybrid (SCH) structures have become recent research highlights [17,55,56]. Figure 17a shows the basic structure of the PAM4 SCH circuit, and its working principle is depicted in Figure 17b–e. Without current sources, the voltage-mode inverters realize the output amplitude of 3 V D D / 4 V D D / 4 = V D D / 2 with a uniform eye height of V D D / 6 . Combining the CML drivers, the output swing is expanded to V D D / 2 + ( I L + I M ) R T . To obtain a uniform PAM4 eye diagram, the output levels satisfy
( I L + I M ) R T / 2 ( I M I L ) R T / 2 = ( I M I L ) R T
Thus, the relation of the tail currents is I M = 2 I L . The structure has the potential of generating output swings larger than V D D through proper design of the tail currents, thereby improving the driving strength and power efficiency under a limited power supply in <14 nm FinFET technologies.
The advantages of different structures are compared in Table 4 based on previous studies. In general, tailless topologies exhibit better power efficiency relative to conventional constant-tail-current architectures. The maximum swing is limited by the power supply and breakdown voltages of HBTs and MOSFETs, and internal resistor terminating is a simple and accurate impedance matching method among the output driver terminating methods.

6. Key Design Considerations for High-Speed High-Swing CML Circuits

6.1. General Design Rules

  • The DC current and voltage of transistors in the high-speed path should be biased at peak f T based on device models. Technology non-ideal characteristics and reliability issues should be considered during layout and post simulation according to technology design guidelines, such as latch-up, hot carrier degradation, and MOSFET well proximity effect.
  • Differential high-speed interconnects should be as short and symmetrical as possible, and isolated from other low-speed modules. During parasitics extraction, parasitic inductance should be extracted and simulated more accurately by electromagnetic tools such as ADS and EMX in addition to RC extraction tools such as xRC, qRC, and StarRC.
  • The resistance of on-die power grids and package-level interconnects causes a localized reduction in the effective V D D seen by internal circuits, which is an increasingly severe issue with the relentless scaling of CMOS processes to 7 nm and below. Advanced chip fabrication technologies such as backside power delivery network effectively improve localized power delivery [57], but they require co-design of crosstalk reduction, thermal management, and mechanical stress protection. For chip-level design, power supply and ground wires can be meshed with multiple metal layers to reduce wiring resistance and increase filtering capacitance through power-ground parasitics. Electromigration and IR-drop (EMIR) simulations should be conducted to ensure power integrity.

6.2. Cascode Structure for High-Swing Drivers

The cascode structure is often adopted in CML drivers, as shown in Figure 18. In the cascode current mirror, V g s 3 V g s 4 and V d s 1 V d s 2 , the influence of channel modulation effect on current replication accuracy is suppressed. Also, it has high output impedance, r d s 2 g m 4 r d s 4 , which enhances the stability of the tail current [25]. A cascode or series-stacked amplifier can prevent transistors from breakdown in high-power-supply high-swing drivers, and reduce output parasitic capacitor by suppressing the Miller effect of input transistors [39,58]. However, parasitic inductance from bias and power wiring needs to be taken into account in high-speed or high-frequency circuits. According to the small signal model of the half cascode amplifier, if we ignore C g d and abstract M6 as an RC parallel network, the impedance looking into the gate of M7 and M8 is
Z i n = 1 j ω C g s + R 6 j ω R 6 C 6 + 1 1 + g m 1 j ω C g s
The real part is given by the following:
R i n R 6 ω R 6 C 6 2 + 1 g m ω R 6 2 C 6 C g s ω 2 R 6 C 6 C g s 2 + ω C g s 2
The input resistance could be negative in some conditions. Long wiring of bias voltage introduces a parasitic inductance L p at the gate of M7/M8 and forms a Colpitts oscillator [59]. Especially, biasing at high tail current for high-swing applications accounts for high g m and increases the possibility of negative impedance. A feasible solution is to connect a small resistor R t in series with the M7/M8 gate and a decoupling capacitor C t in parallel to reduce the reflection coefficient looking out of the M7/M8 gate without changing the operating point significantly [45,60,61,62,63].

6.3. Input and Output Interface

As signal integrity is a critical consideration in high-speed systems, the chip package model needs to be integrated at every stage of circuit simulation. The parasitic inductance induced by long bonding wires and interconnects is beneficial to bandwidth boosting. However, for drivers operating above 50 Gbaud, this inductance, along with parasitic capacitance, overwhelmingly acts as a limiting factor that deteriorates the total system bandwidth and introduces severe signal reflections. Certain circuits such as CTLE or FFE with proper bandwidth-compensation coefficients need to be integrated to adapt to practical channel characteristics. Advanced packages such as WLCSP and CPO shorten the electrical connection between ICs, which dramatically reduces parasitic inductance from hundreds of picohenries to around ten picohenries. The load seen by the driver shifts from distributed transmission lines to an almost purely lumped capacitive load, which eases the compensation of equalizers. But the chip power efficiency needs to be taken into account, as these complex packages increase thermal density and are not conducive to chip heat dissipation and peripheral thermal-sensitive devices. For SiPh transmitters [27], especially distributed modulator drivers [64], the output transmission lines’ geometry needs to be specially designed to match the propagation delays in the optical and electrical paths. Moreover, ESD devices in high-speed I/O interfaces increasingly affect link bandwidth, which requires the T-coil bandwidth-compensation technique [65]. End-to-end impedance matching should be thoroughly evaluated through return loss or time domain reflectometry (TDR) simulations.

7. Conclusions and Future Outlook

This review summarizes CML circuits for wireline transceivers, optical modulators, and other data transmitting systems. Basic principles and performance indicators are described and derived. Their performance advancements in recent years are summarized. Common technical principles to enhance bandwidth, improve linearity, and adjust amplitude are discussed, derived, and compared for high-speed applications. Emerging structures for better power efficiency, driving strength, and simplification are introduced. Design considerations to avoid unexpected failures in high-frequency circuits are mentioned. The review covers theoretical basis, cutting-edge progress, and various design techniques of CML circuits for transceivers and optical communication systems.
Although SST drivers and other structures have been favored in continuously scaling CMOS technologies, CML circuits still play an important role in high-swing differential applications, especially for SiGe and InP technologies. As the minimum transistor size and interconnection bandwidth have their physical limitations, more innovations will be focused on packages with high integration density and multi-lane optical solutions. More complex modulation formats will be widely applied to release bandwidth requirements. CML circuits are expected to be designed with better linearity and power efficiency. It is essential to combine the experience and techniques in digital, analog, and RF circuit design, while thoroughly leveraging the advantages of processes and packaging, to achieve driver circuit innovation and performance breakthroughs.

Author Contributions

Investigation and writing, Y.C. (Yinghao Chen); supervision and conceptualization, Y.C. (Yingmei Chen); resources and funding acquisition, J.C.; formal analysis and writing—review and editing, C.W. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the Henan Science and Technology Major Project of the Department of Science & Technology of Henan Province, grant number 241100210400.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflicts of interest.

Appendix A

The principle of Gilbert-cell VGA can be derived from the transfer functions of the HBT. In Figure 10b, the differential output signal can be given by the following:
V o u t = V o p V o n = I c 3 I c 4 I c 6 I c 5 R
where I c is the collector current of an HBT. According to the transfer characteristics of HBT,
I c = I ss e V be / V T 1 I ss e V be / V T , V b e V T
Thus, the proportion of collector currents of Q1 and Q2 is
I c 1 I c 2 = e V be 1 V be 2 / V T
The tail currents of the differential two branches are equal at static state:
I c 1 + I c 2 I e 1 + I e 2 = 2 I s
We can derive the expression for I c with the difference of V b e as the variable:
I c 1 = 2 I s e V be 1 V be 2 / V T e V be 1 V be 2 / V T + 1
I c 2 = 2 I s e V be 1 V be 2 / V T + 1
I c 1 I c 2 = 2 I s e V be 1 V be 2 / V T 1 e V be 1 V be 2 / V T + 1 = 2 I s tanh V be 1 V be 2 2 V T
V be 1 V be 2 = V ip V in
When | x | is much smaller than 1, tanh (x) approximately equals x; therefore, when V b e 1 V b e 2 2 V T ,
I c 1 I c 2 I EE V be 1 V be 2 V T
Similarly,
I c 3 I c 4 = I c 1 tanh V be 3 V be 4 2 V T = I c 1 tanh V ctrlp V ctrln 2 V T I c 1 V ctrlp V ctrln 2 V T
I c 6 I c 5 = I c 2 tanh V be 6 V be 5 2 V T = I c 2 tanh V ctrlp V ctrln 2 V T I c 2 V ctrlp V ctrln 2 V T
Thus, in the theoretical case where V c t r l p V c t r l n and V i p V i n are much smaller than V T , the expression for the output swing with input can be approximated as follows:
V op V on = I c 1 V ctrlp V ctrln 2 V T I c 2 V ctrlp V ctrln 2 V T R = I s V ip V in V ctrlp V ctrln R 2 V T 2
V out V in = I s V ctrlp V ctrln R 2 V T 2
From the equation, it is concluded that the gain of VGA can be controlled by the difference between V c t r l p and V c t r l n .

References

  1. Gentili, F.; Urbani, L.; Bianchi, G.; Pelliccia, L.; Sorrentino, R. p-i-n-Diode-Based Four-Channel Switched Filter Bank with Low-Power TTL-Compatible Driver. IEEE Trans. Microw. Theory Tech. 2014, 62, 3333–3340. [Google Scholar] [CrossRef]
  2. Lin, H.W.; Lin, T.H. A 0.3V, 625Mbps LVDS Driver in 0.18μm CMOS Technology. In Proceedings of the 2020 IEEE International Conference on Semiconductor Electronics (ICSE), Kuala Lumpur, Malaysia, 28–29 July 2020; pp. 65–68. [Google Scholar] [CrossRef]
  3. Fakkel, N.; Mortazavi, M.; Overwater, R.W.J.; Sebastiano, F.; Babaie, M. A Cryo-CMOS DAC-Based 40-Gb/s PAM4 Wireline Transmitter for Quantum Computing. IEEE J. Solid-State Circuits 2024, 59, 1433–1446. [Google Scholar] [CrossRef]
  4. Bhaskar, R.; Choudhary, B.; Saha, R.; Rajput, D.S. Analysis, Design and Optimization of Current Mode Logic: A State-of-the Art Review. IETE Tech. Rev. 2025, 42, 682–712. [Google Scholar] [CrossRef]
  5. Alioto, M.; Palumbo, G. Modeling and optimized design of current mode MUX/XOR and D flip-flop. IEEE Trans. Circuits Syst. II Analog. Digit. Signal Process. 2000, 47, 452–461. [Google Scholar] [CrossRef]
  6. Geng, Y.; Lin, H.; Wang, B.; Wang, C. Cryo-CMOS Dual-Qubit Homodyne Reflectometer Array with Degenerate Parametric Amplification. IEEE J. Solid-State Circuits 2024, 59, 3290–3306. [Google Scholar] [CrossRef]
  7. Sansen, W.M.C. Analog Design Essentials; Springer: Dordrecht, The Netherlands, 2007. [Google Scholar]
  8. Razavi, B. Design of Analog CMOS Integrated Circuits, 2nd ed.; McGraw-Hill Education: Columbus, OH, USA, 2017. [Google Scholar]
  9. Ito, D.; Takahashi, Y.; Nakamura, M.; Inoue, T.; Tsuchiya, A.; Kishine, K. 4-ch 25-Gb/s Small and Low-power VCSEL Driver Circuit with Unbalanced CML in 65-nm CMOS. In Proceedings of the 2023 20th International SoC Design Conference (ISOCC), Jeju, Republic of Korea, 25–28 October 2023; pp. 13–14. [Google Scholar] [CrossRef]
  10. Sedighi, B.; Christoph Scheytt, J. 40 Gb/s VCSEL driver IC with a new output current and pre-emphasis adjustment method. In Proceedings of the 2012 IEEE/MTT-S International Microwave Symposium Digest, Montreal, QC, Canada, 17–22 June 2012; pp. 1–3. [Google Scholar] [CrossRef]
  11. Belfiore, G.; Szilagyi, L.; Henker, R.; Jörges, U.; Ellinger, F. Design of a 56 Gbit/s 4-level pulse-amplitude-modulation inductor-less vertical-cavity surface-emitting laser driver integrated circuit in 130 nm BiCMOS technology. IET Circuits Devices Syst. 2015, 9, 213–220. [Google Scholar] [CrossRef]
  12. Cooperman, M. High speed current mode logic for LSI. IEEE Trans. Circuits Syst. 1980, 27, 626–635. [Google Scholar] [CrossRef]
  13. Yeap, G.; Lin, S.; Shang, H.; Lin, H.; Peng, Y.; Wang, M.; Wang, P.; Lin, C.; Yu, K.; Lee, W.; et al. 2nm Platform Technology Featuring Energy-Efficient Nanosheet Transistors and Interconnects Co-Optimized with 3DIC for AI, HPC and Mobile SoC Applications. In Proceedings of the 2024 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2024; pp. 1–4. [Google Scholar] [CrossRef]
  14. Hao, Q.; Chen, K.N.; Goel, S.K.; Li, H.; Marinissen, E.J. Guest Editorial 2.5D/3D Chiplet Circuits and Systems, EDA, Advanced Packaging, and Test—Part I. IEEE J. Emerg. Sel. Top. Circuits Syst. 2025, 15, 362–367. [Google Scholar] [CrossRef]
  15. Zheng, X.; Ding, H.; Zhao, F.; Wu, D.; Zhou, L.; Wu, J.; Lv, F.; Wang, J.; Liu, X. A 50–112-Gb/s PAM-4 Transmitter with a Fractional-Spaced FFE in 65-nm CMOS. IEEE J. Solid-State Circuits 2020, 55, 1864–1876. [Google Scholar] [CrossRef]
  16. Kang, T.; Yang, J.; Song, E.; Son, S.; Kim, H.; Han, J. A 96-Gb/s 1.6-Vppd PAM-8 Transmitter with High-Swing and Low-Loading Cascaded Driver in 40-nm CMOS Technology. IEEE Trans. Very Large Scale Integr. Syst. 2025, 33, 2084–2088. [Google Scholar] [CrossRef]
  17. Fan, C.; Yu, W.H.; Mak, P.I.; Martins, R.P. A 40-Gb/s PAM-4 Transmitter Using a 0.16-pJ/bit SST-CML-Hybrid (SCH) Output Driver and a Hybrid-Path 3-Tap FFE Scheme in 28-nm CMOS. IEEE Trans. Circuits Syst. I Regul. Pap. 2019, 66, 4850–4861. [Google Scholar] [CrossRef]
  18. Sim, J.; Sim, C.; Choi, J.; Park, S.; Kim, S.; Kim, C. A 50 Gb/s PAM-4 Transceiver with High-Swing Driver, Dual-Loop Analog Equalizer, and Integrator-Based Baud-Rate Linear CDR for Short-Reach Links. IEEE Trans. Circuits Syst. I Regul. Pap. 2025, 72, 1598–1608. [Google Scholar] [CrossRef]
  19. Nakano, S.; Nagatani, M.; Nogawa, M.; Kawamura, Y.; Kikuchi, K.; Tsuzuki, K.; Nosaka, H. A 2.25-mW/Gb/s 80-Gb/s-PAM4 linear driver with a single supply using stacked current-mode architecture in 65-nm CMOS. In Proceedings of the 2017 Symposium on VLSI Circuits IEEE, Kyoto, Japan, 5–8 June 2017; pp. C322–C323. [Google Scholar] [CrossRef]
  20. Wahba, A.; Cheng, L.; Lin, F. A 15 Gbps-NRZ, 30 Gbps-PAM4, 120 mA laser diode driver implemented in 0.15-μm GaAs E-mode pHEMT technology. J. Semicond. 2021, 42, 072401. [Google Scholar] [CrossRef]
  21. Min, Y.; Zhang, Y.; Yang, T.; Li, L.; Li, G.; Zhang, Z.; Liu, J.; Wu, N.; Lin, Y.; Peng, H.; et al. A 32Gb/s NRZ Low-Bias DFB Driver with Frequency Boosting for High Efficiency Data Transmission. In Proceedings of the 2024 IEEE International Symposium on Circuits and Systems (ISCAS), Singapore, 19–22 May 2024; pp. 1–4. [Google Scholar] [CrossRef]
  22. Sharif-Bakhtiar, A.; Lee, M.G.; Carusone, A.C. A 40-Gbps 0.5-pJ/bit VCSEL driver in 28nm CMOS with complex zero equalizer. In Proceedings of the 2017 IEEE Custom Integrated Circuits Conference (CICC), Austin, TX, USA, 30 April–3 May 2017; pp. 1–4. [Google Scholar] [CrossRef]
  23. Khafaji, M.; Pliva, J.; Henker, R.; Ellinger, F. A 42-Gb/s VCSEL Driver Suitable for Burst Mode Operation in 14-nm Bulk CMOS. IEEE Photonics Technol. Lett. 2018, 30, 23–26. [Google Scholar] [CrossRef]
  24. Guo, C.; Chen, Y.; Li, J.; Fan, W.; Zhu, E.; Xu, J. A 25Gb/s VCSEL driver with overshoot suppression in 0.13μm SiGe BiCMOS technology. Analog Integr. Circuits Signal Process. 2023, 115, 233–240. [Google Scholar] [CrossRef]
  25. Fatemi, A.; Klar, H.; Gerfers, F.; Kissinger, D. A 40 Gbps Micro-Ring Modulator Driver Implemented in a SiGe BiCMOS Technology. In Proceedings of the 2016 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS), Austin, TX, USA, 23–26 October 2016; pp. 1–4. [Google Scholar] [CrossRef]
  26. Kim, M.; Kim, M.H.; Jo, Y.; Kim, H.K.; Lischke, S.; Mai, C.; Zimmermann, L.; Choi, W.Y. Silicon electronic–photonic integrated 25 Gb/s ring modulator transmitter with a built-in temperature controller. Photonics Res. 2021, 9, 507. [Google Scholar] [CrossRef]
  27. Giuglea, A.; Belfiore, G.; Khafaji, M.M.; Henker, R.; Ellinger, F. A 37-Gb/s Monolithically Integrated Electro-Optical Transmitter in a Silicon Photonics 250-nm BiCMOS Process. J. Light. Technol. 2022, 40, 2080–2086. [Google Scholar] [CrossRef]
  28. Liu, H.; Zhang, Z.; Liu, Y.; Chen, D.; Lu, D.; He, J.; Li, G.; Liu, M.; Dang, Z.; Xiao, X.; et al. A 4×112 Gb/s PAM-4 Silicon-Photonic Transmitter and Receiver Chipsets for Linear-Drive Co-Packaged Optics. IEEE J. Solid-State Circuits 2024, 59, 3263–3276. [Google Scholar] [CrossRef]
  29. Zandieh, A.; Schvan, P.; Voinigescu, S.P. Linear Large-Swing Push–Pull SiGe BiCMOS Drivers for Silicon Photonics Modulators. IEEE Trans. Microw. Theory Tech. 2017, 65, 5355–5366. [Google Scholar] [CrossRef]
  30. Fan, W.; Chen, Y.; Yan, J.; Zhu, E. A 56-GBaud lumped linear push–pull driver for Mach–Zehnder modulator in 130-nm SiGe technology. Microw. Opt. Technol. Lett. 2024, 66, e34148. [Google Scholar] [CrossRef]
  31. Wakita, H.; Nagatani, M.; Kurishima, K.; Ida, M.; Nosaka, H. An over-67-GHz-bandwidth 2 Vppd linear differential amplifier with gain control in 0.25-μm InP DHBT technology. In Proceedings of the IEEE MTT-S International Microwave Symposium (IMS), San Francisco, CA, USA, 22–27 May 2016; pp. 5–7. [Google Scholar] [CrossRef]
  32. Hersent, R.; Blache, F.; Jorge, F.; Nodjiadjim, V.; Davy, N.; Mismer, C.; Riet, M.; Iotti, L.; Rylyakov, A.; Konczykowska, A.; et al. High-Efficiency >110-GHz-Bandwidth 4-Vppd InP-DHBT Linear Modulator Driver for Beyond-200-GBd Optical Transceivers. In Proceedings of the 2025 IEEE BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium (BCICTS), Phoenix, AZ, USA, 12–15 October 2025; pp. 1–4. [Google Scholar] [CrossRef]
  33. Kao, M.S.; Wu, J.M.; Lin, C.H.; Chen, F.T.; Chiu, C.T.; Hsu, S.S. A 10-Gb/s CML I/O circuit for backplane interconnection in 0.18-μm CMOS technology. IEEE Trans. Very Large Scale Integr. Syst. 2009, 17, 688–696. [Google Scholar] [CrossRef]
  34. Razavi, B. The Bridged T-Coil [A Circuit for All Seasons]. IEEE Solid-State Circuits Mag. 2015, 7, 9–13. [Google Scholar] [CrossRef]
  35. Kim, J.; Kim, J.-K.; Lee, B.-J.; Jeong, D.-K. Design Optimization of On-Chip Inductive Peaking Structures for 0.13-μm CMOS 40-Gb/s Transmitter Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 2009, 56, 2544–2555. [Google Scholar] [CrossRef]
  36. Wang, D.; Wang, Z.; Xu, H.; Wang, J.; Zhao, Z.; Zhang, C.; Wang, Z.; Chen, H. A 56-Gbps PAM-4 Wireline Receiver with 4-Tap Direct DFE Employing Dynamic CML Comparators in 65 nm CMOS. IEEE Trans. Circuits Syst. I Regul. Pap. 2022, 69, 1027–1040. [Google Scholar] [CrossRef]
  37. Li, J.; Chen, Y.; Chen, L.; Guo, C. A 30Gbps power-efficient dual-loop adaptive equalizer in 0.13μm SiGe BiCMOS technology. Microelectron. J. 2020, 100, 104773. [Google Scholar] [CrossRef]
  38. Zhao, C.; Guo, D.; Chen, Q.; Fang, N.; Gan, Y.; Guo, Z.; Sun, X.; Yi, L. A 25 Gbps VCSEL driving ASIC: An attempt for ultra-high-speed front-end readout applications. J. Instrum. 2022, 17, C01040. [Google Scholar] [CrossRef]
  39. Knochenhauer, C.; Scheytt, J.C.; Ellinger, F. A Compact, Low-Power 40-GBit/s Modulator Driver with 6-V Differential Output Swing in 0.25-μm SiGe BiCMOS. IEEE J. Solid-State Circuits 2011, 46, 1137–1146. [Google Scholar] [CrossRef]
  40. Ray, S.; Hella, M.M. A 30–75 dBΩ 2.5 GHz 0.13-μm CMOS Receiver Front-End with Large Input Cap. IEEE Trans. Circuits Syst. I Regul. Pap. 2016, 63, 1404–1415. [Google Scholar] [CrossRef]
  41. Shu, Z.; Huang, S.; Li, Z.; Yin, P.; Zang, J.; Fu, D.; Tang, F.; Bermak, A. A 5-13.5 Gb/s multistandard receiver with high jitter tolerance digital CDR in 40-nm CMOS process. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 3378–3388. [Google Scholar] [CrossRef]
  42. Fan, W.; Chen, Y.; Li, J.; Guo, C.; Zhu, E. 4-channel, 224Gb/s PAM-4 optical transmitter with group delay compensation in 130-nm BiCMOS technology. IEICE Electron. Express 2023, 20, 20230386. [Google Scholar] [CrossRef]
  43. Mammei, E.; Loi, F.; Radice, F.; Dati, A.; Bruccoleri, M.; Bassi, M.; Mazzanti, A. Analysis and Design of a Power-Scalable Continuous-Time FIR Equalizer for 10 Gb/s to 25 Gb/s Multi-Mode Fiber EDC in 28 nm LP CMOS. IEEE J. Solid-State Circuits 2014, 49, 3130–3140. [Google Scholar] [CrossRef]
  44. Awny, A.; Nagulapalli, R.; Kroh, M.; Hoffmann, J.; Runge, P.; Micusik, D.; Fischer, G.; Ulusoy, A.C.; Ko, M.; Kissinger, D. A Linear Differential Transimpedance Amplifier for 100-Gb/s Integrated Coherent Optical Fiber Receivers. IEEE Trans. Microw. Theory Tech. 2018, 66, 973–986. [Google Scholar] [CrossRef]
  45. Vangerow, C.V.; Goettel, B.; Awny, A.; Kissinger, D.; Zwick, T. Broadband variable gain amplifier with low group delay variation. In Proceedings of the 2018 IEEE 18th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), Anaheim, CA, USA, 14–17 January 2018; pp. 23–26. [Google Scholar] [CrossRef]
  46. Cheng, J.; Huang, F.; Gao, Y.; Wu, L.; Tian, Y. A 1GHz CMOS variable gain amplifier with 70dB linear-in-magnitude controlled gain range for UWB systems. In Proceedings of the 2009 15th Asia-Pacific Conference on Communications, Shanghai, China, 8–10 October 2009; pp. 195–198. [Google Scholar] [CrossRef]
  47. Sharma, J.; Xuan, Z.; Li, H.; Kim, T.; Kumar, R.; Sakib, M.N.; Hsu, C.M.; Ma, C.; Rong, H.; Balamurugan, G.; et al. Silicon Photonic Microring-Based 4 × 112 Gb/s WDM Transmitter with Photocurrent-Based Thermal Control in 28-nm CMOS. IEEE J. Solid-State Circuits 2022, 57, 1187–1198. [Google Scholar] [CrossRef]
  48. Lee, J.; Chiang, P.C.; Peng, P.J.; Chen, L.Y.; Weng, C.C. Design of 56 Gb/s NRZ and PAM4 SerDes Transceivers in CMOS Technologies. IEEE J. Solid-State Circuits 2015, 50, 206–2073. [Google Scholar] [CrossRef]
  49. Hwang, J.; Choi, H.S.; Do, H.; Jeong, G.S.; Koh, D.; Park, S.H.; Jeong, D.K. 4-Channel Push-Pull VCSEL Drivers for HDMI Active Optical Cable in 0.18-μm CMOS. In Proceedings of the International Symposium on Low Power Electronics and Design, Seattle, WA, USA, 23–25 July 2018. [Google Scholar] [CrossRef]
  50. Hwang, J.; Jeong, G.S.; Bae, W.; Park, J.E.; Yoon, C.S.; Yoon, J.M.; Joo, J.; Kim, G.; Jeong, D.K. A 32 Gb/s, 201 mW, MZM/EAM Cascode Push–Pull CML Driver in 65 nm CMOS. IEEE Trans. Circuits Syst. II Express Briefs 2018, 65, 436–440. [Google Scholar] [CrossRef]
  51. Toprak-Deniz, Z.; Dickson, T.O.; Cochet, M.; Proesel, J.; Bulzacchelli, J.F.; Ainspan, H.; Brändli, M.; Morf, T.; Beakes, M.; Meghelli, M. A 0.88pJ/bit 112Gb/s PAM4 Transmitter with 1Vppd Output Swing and 5-Tap Analog FFE in 7nm FinFET CMOS. In Proceedings of the 2024 IEEE Symposium on VLSI Technology and Circuits, Honolulu, HI, USA, 16–20 June 2024; pp. 1–2. [Google Scholar] [CrossRef]
  52. Jeong, Y.U.; Chae, J.H. A Single-Ended PAM-4 Transmitter Using Unstacked Tailless CML Driver and Coefficient-Corrected FFE for Memory Interfaces. IEEE Trans. Circuits Syst. I Regul. Pap. 2024, 71, 6306–6315. [Google Scholar] [CrossRef]
  53. Oh, Y.; Im, H.; Yang, J.; Song, E.; Lee, D.; Lee, S.; Shin, T.; Han, J. A 100-Gb/s PAM-8 Transmitter with 3-Tap FFE and High-Swing Hybrid Driver in 40-nm CMOS Technology. IEEE Trans. Circuits Syst. II Express Briefs 2024, 71, 2936–2940. [Google Scholar] [CrossRef]
  54. Kuai, R.; Lv, F.; Xu, J.; Wang, Q.; Zhang, G.; Yuan, L.; Xin, K.; Huang, H.; Ding, H.; Lai, M. A 0.9 pJ/Bit 56Gb/s High-Swing Tri-Mode Wireline Transmitter with 6-Bit DAC Controlled Tailless-CML Driver and Impedance Calibration Loop. In Proceedings of the 2025 IEEE/MTT-S International Microwave Symposium—IMS 2025, San Francisco, CA, USA, 15–20 June 2025; pp. 753–756. [Google Scholar] [CrossRef]
  55. Bassi, M.; Radice, F.; Bruccoleri, M.; Erba, S.; Mazzanti, A. A High-Swing 45 Gb/s Hybrid Voltage and Current-Mode PAM-4 Transmitter in 28 nm CMOS FDSOI. IEEE J. Solid-State Circuits 2016, 51, 2702–2715. [Google Scholar] [CrossRef]
  56. Zhang, Z.; Xiao, Y.; Liu, J.; Wu, N.; Zhang, Z.; Liu, L. A 56-Gb/s 0.11-pJ/bit/dB energy-efficient PAM-4 transmitter using push-pull current-mode driver and DDJ-suppressed multiplexer. IEICE Electron. Express 2025, 22, 20250110. [Google Scholar] [CrossRef]
  57. Park, M.G.; Lim, S.K. BS-PDN-Last: Towards Optimal Power Delivery Network Design With Multifunctional Backside Metal Layers. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2025, early access. [Google Scholar] [CrossRef]
  58. Rito, P.; López, I.G.; Awny, A.; Ulusoy, A.C.; Kissinger, D. A DC-90 GHz 4-Vpp differential linear driver in a 0.13 μm SiGe:C BiCMOS technology for optical modulators. In Proceedings of the 2017 IEEE MTT-S International Microwave Symposium (IMS), Honololu, HI, USA, 4–9 June 2017; pp. 439–442. [Google Scholar] [CrossRef]
  59. Afshar, B.; Niknejad, A.M. X/Ku Band CMOS LNA Design Techniques. In Proceedings of the IEEE Custom Integrated Circuits Conference 2006, San Jose, CA, USA, 10–13 September 2006; pp. 389–392. [Google Scholar] [CrossRef]
  60. Schmid, R.L.; Coen, C.T.; Shankar, S.; Cressler, J.D. Best practices to ensure the stability of SiGe HBT cascode low noise amplifiers. In Proceedings of the 2012 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), Portland, OR, USA, 30 September–3 October 2012; pp. 1–4. [Google Scholar] [CrossRef]
  61. Schmid, R.; Meister, T.; Rest, M.; Rein, H.M. SiGe driver circuit with high output amplitude operating up to 23 Gb/s. IEEE J. Solid-State Circuits 1999, 34, 886–891. [Google Scholar] [CrossRef]
  62. Kao, M.S.; Chen, F.T.; Hsu, Y.H.; Wu, J.M. 20-Gb/s CMOS EA/MZ Modulator Driver with Intrinsic Parasitic Feedback Network. IEEE Trans. Very Large Scale Integr. Syst. 2014, 22, 475–483. [Google Scholar] [CrossRef]
  63. Hettrich, H.; Moller, M. Linear low-power 13GHz SiGe-Bipolar modulator driver with 7 Vpp differential output voltage swing and on-chip bias tee. In Proceedings of the 2014 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), Coronado, CA, USA, 28 September–1 October 2014; pp. 80–83. [Google Scholar] [CrossRef]
  64. Liu, H.; Deng, R.; Dong, Z.; Li, G.; Liu, J.; Wu, N.; Cops, W.F.; Chen, T.; Liu, L.; Qi, N. A 224-Gb/s PAM-4 Linear Distributed Driver for Silicon-Photonic Modulators in SiGe BiCMOS. In Proceedings of the 2025 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), San Francisco, CA, USA, 15–17 June 2025; pp. 387–390. [Google Scholar] [CrossRef]
  65. Galal, S.; Razavi, B. Broadband esd protection circuits in CMOS technology. IEEE J. Solid-State Circuits 2003, 38, 2334–2340. [Google Scholar] [CrossRef]
Figure 1. Overview diagram of this review.
Figure 1. Overview diagram of this review.
Electronics 15 00405 g001
Figure 2. (a) (Cascode) HBT differential pair. (b) (Cascode) MOSFET differential pair.
Figure 2. (a) (Cascode) HBT differential pair. (b) (Cascode) MOSFET differential pair.
Electronics 15 00405 g002
Figure 4. (a) Inductive peaking technique based on passive and active inductor; (b) T-coil technique.
Figure 4. (a) Inductive peaking technique based on passive and active inductor; (b) T-coil technique.
Electronics 15 00405 g004
Figure 5. (a) CML circuit with capacitor and resistor degeneration technique; (b) AC response of CML circuit with degeneration resistor and capacitor variations.
Figure 5. (a) CML circuit with capacitor and resistor degeneration technique; (b) AC response of CML circuit with degeneration resistor and capacitor variations.
Electronics 15 00405 g005
Figure 6. CML circuit with negative capacitor techniques.
Figure 6. CML circuit with negative capacitor techniques.
Electronics 15 00405 g006
Figure 7. (a) CML circuit with f T doubling technique; (b) equivalent circuit for input capacitance.
Figure 7. (a) CML circuit with f T doubling technique; (b) equivalent circuit for input capacitance.
Electronics 15 00405 g007
Figure 8. MOS CML circuit with tail current and gain tunable through DAC and differential pair array.
Figure 8. MOS CML circuit with tail current and gain tunable through DAC and differential pair array.
Electronics 15 00405 g008
Figure 9. Schematic of MOS thermometric-array based VGA.
Figure 9. Schematic of MOS thermometric-array based VGA.
Electronics 15 00405 g009
Figure 10. Schematic of Gilbert-cell based VGA: (a) halved cross-pair output to reduce parasitics; (b) signal input from common-emitter transistors; (c) signal input from cross-coupled pair.
Figure 10. Schematic of Gilbert-cell based VGA: (a) halved cross-pair output to reduce parasitics; (b) signal input from common-emitter transistors; (c) signal input from cross-coupled pair.
Electronics 15 00405 g010
Figure 11. (a) Schematic of typical VGA-based AGC loop; (b) equivalent model.
Figure 11. (a) Schematic of typical VGA-based AGC loop; (b) equivalent model.
Electronics 15 00405 g011
Figure 12. Block diagram of DC offset cancellation loop.
Figure 12. Block diagram of DC offset cancellation loop.
Electronics 15 00405 g012
Figure 13. CML PAM4 combiner circuit.
Figure 13. CML PAM4 combiner circuit.
Electronics 15 00405 g013
Figure 14. (a) Open drain driver; (b) open collector driver.
Figure 14. (a) Open drain driver; (b) open collector driver.
Electronics 15 00405 g014
Figure 15. Schematic of (a) CMOS push–pull driver; (b) BiCMOS push–pull driver.
Figure 15. Schematic of (a) CMOS push–pull driver; (b) BiCMOS push–pull driver.
Electronics 15 00405 g015
Figure 16. Tailless CML circuit: (a) stacked N-type; (b) unstacked N-type; (c) stacked P-type.
Figure 16. Tailless CML circuit: (a) stacked N-type; (b) unstacked N-type; (c) stacked P-type.
Electronics 15 00405 g016
Figure 17. (a) Schematic of CML-SST hybrid driver; its equivalent circuit when (b) MSB = LSB = 0; (c) MSB = 1, LSB = 0; (d) MSB = LSB = 1; (e) MSB = 0, LSB = 1.
Figure 17. (a) Schematic of CML-SST hybrid driver; its equivalent circuit when (b) MSB = LSB = 0; (c) MSB = 1, LSB = 0; (d) MSB = LSB = 1; (e) MSB = 0, LSB = 1.
Electronics 15 00405 g017
Figure 18. Schematic and small-signal model of MOS cascode amplifier.
Figure 18. Schematic and small-signal model of MOS cascode amplifier.
Electronics 15 00405 g018
Table 1. Comparison of typical interface standards.
Table 1. Comparison of typical interface standards.
TTL CMOSLVDSECL PECL LVPECLCML
Level range (V)0∼50∼VCC0.85∼1.55−1.72∼−0.88
3.28∼4.12
1.58∼2.42
VCC-0.4
∼VCC
Max. rate level (Hz)100 M100 M1 G10 G10 G
Power levelHighLowLowHighMedium
Single/differentialSingleSingleDif.Dif.Dif.
CouplingACDCDCDC/ACDC/AC
Table 3. Comparison of the bandwidth expansion techniques.
Table 3. Comparison of the bandwidth expansion techniques.
TechniquesSimplicityBandwidth ImprovementAdditional PowerArea CostChallenges
Shunt inductive & T-coil peaking+++∼1.8×, 2.8×-+++Inductor SRF; Consistency between simulation and measurement
Capacitor and resistor degeneration+++vary by R, C-+Lowered gain
Cross-coupled negative capacitor+∼1.3×++Capacitor value selection; layout symmetry
Negative Miller capacitor++∼1.3×-+Capacitor value selection; layout symmetry
f T doubling+∼2×++Higher output capacitance; layout symmetry
+: low; ++: moderate; +++: high; -: not required; ✓: required
Table 4. Comparison of CML structures.
Table 4. Comparison of CML structures.
StructureSimplicityPower EfficiencyOutput SwingMatching MethodsPreferred Applications
(Cascode) differential pair+++++++Internal resistorsWidely applicable
OC/OD++++++++External resistorsNeed external termination
Push–pull++++++Parallel transistorsLow load resistance
Tailless++++++Internal resistorsIn CMOS technology, low power supply
CML-SST hybrid+++++Internal series resistors + parallel transistorsIn CMOS technology
+: low; ++: moderate; +++: high
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Chen, Y.; Chen, Y.; Wu, C.; Chen, J. Research Progress and Design Considerations of High-Speed Current-Mode Driver ICs. Electronics 2026, 15, 405. https://doi.org/10.3390/electronics15020405

AMA Style

Chen Y, Chen Y, Wu C, Chen J. Research Progress and Design Considerations of High-Speed Current-Mode Driver ICs. Electronics. 2026; 15(2):405. https://doi.org/10.3390/electronics15020405

Chicago/Turabian Style

Chen, Yinghao, Yingmei Chen, Chenghao Wu, and Jian Chen. 2026. "Research Progress and Design Considerations of High-Speed Current-Mode Driver ICs" Electronics 15, no. 2: 405. https://doi.org/10.3390/electronics15020405

APA Style

Chen, Y., Chen, Y., Wu, C., & Chen, J. (2026). Research Progress and Design Considerations of High-Speed Current-Mode Driver ICs. Electronics, 15(2), 405. https://doi.org/10.3390/electronics15020405

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop