Next Article in Journal
Advanced Alarm Method Based on Driver’s State in Autonomous Vehicles
Previous Article in Journal
Effects of Using Vibrotactile Feedback on Sound Localization by Deaf and Hard-of-Hearing People in Virtual Environments
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts

1
Consultant, MissionX Pvt, Mahindra 603002, India
2
Department of Electrical Engineering, College of Engineering, King Khalid University, Abha, Asir 61411, Saudi Arabia
3
Department of Electrical and Electronics Engineeqring, Saveetha School of Engineering, SIMATS Saveetha University, Chennai 600077, India
4
Department of Electrical and Electronics Engineering, SRM Institute of Science and Technology, Chennai 603203, India
5
Department of Electrical Engineering, Tshwane University of Technology, Pretoria 0001, South Africa
*
Author to whom correspondence should be addressed.
Electronics 2021, 10(22), 2795; https://doi.org/10.3390/electronics10222795
Submission received: 8 August 2021 / Revised: 3 November 2021 / Accepted: 6 November 2021 / Published: 15 November 2021
(This article belongs to the Section Microelectronics)

Abstract

:
Managing the timing constraints has become an important factor in the physical design of multiple supply voltage (MSV) integrated circuits (IC). Clock distribution and module scheduling are some of the conventional methods used to satisfy the timing constraints of a chip. In this paper, we propose a simulated annealing-based MSV floorplanning methodology for the design of ICs within the timing budget. Additionally, we propose a modified SKB tree representation for floorplanning the modules in the design. Our algorithm finds the optimal dimensions and position of the clocked modules in the design to reduce the wirelength and satisfy the timing constraints. The proposed algorithm is implemented in IWLS 2005 benchmark circuits and considers power, wirelength, and timing as the optimization parameters. Simulation results were obtained from the Cadence Innovus digital system taped-out at 45 nm. Our simulation results show that the proposed algorithm satisfies timing constraints through a 30.6% reduction in wirelength.

1. Introduction

The emergence of system-on-chip (SoC) technology has created remarkable impacts in mobile and wearable applications. This is mainly due to their high-speed processing and low power consumption. During the back-end design of these integrated circuits, the electronic design automation software (EDA) creates a clock network for the modules in the layout through routing from the clock source. The size of the clock network decides the time of the operation of modules which helps with high-speed operations. Since these ICs possess large numbers of modules, a compact packaging of modules with a reduced clock network size for a fixed die size introduces new complexities in the physical design process of SoC-based ICs and operates its modules with multiple supply voltages (MSV) for the reduction in power.
In MSV designs, the modules operating at the same voltage levels are floorplanned in a region called the voltage island for the reduction in the distribution of the power network area. Compared with single VDD designs, the aforementioned clock network size is pronounced in the MSV design. In spite of the conventional clock tree distribution techniques, a zero clock skew-based clocking methodology is necessary for the successful distribution of clock signals to the modules which contain the clock as one of their pins. The H tree, X tree, method of mean and median (MMM), recursive geometric matching (RGM), and zero clock tree are some of the clock distribution methodologies available in EDA tools. These methodologies follow iterative methods for the distribution of clock signals from the source to the sink nodes in the layout.

Related Works

This section gives insights into works in literature which address and propose novel methods for simultaneous power reduction that satisfies the timing constraints. All the methodologies in these works were experimented on using the MCNC and GSRC benchmark circuits. Most of the previous research provides solutions to clock tree generation and distribution for a single VDD [1,2,3]. The implementation of methods for multiple supply voltage designs results in a large skew which degrades the speed of the operations performed in the IC.
Dynamic voltage frequency scaling (DVFS) and adaptive voltage scaling are the most effective techniques for power reduction, which function with a design that operates at different voltage modes [4]. Level converters are used in those designs to save power and improve speed [5]. To handle clocking strategies in the DVFS, the separate clock trees were generated for different operating modes. In order to reduce power consumption due to random logic circuits, a clustered voltage scaling scheme with row-by-row optimization in power was introduced [2]. In order to operate the IC within the predefined timing constraints, the critical mode optimization and surrogate-based optimization methods were proposed [3]. These methods insert buffers to meet the timing constraints in the clock path present in the voltage islands. In addition, this method reduces the setup violations before clock tree synthesis (CTS) since the buffers are inserted between modules without changing their positions. However, after detailed routing, it is observed that it increases wirelength; this will affect the performance of the chip. In order to overcome this trade-off problem, an algorithm named the deferred-merge embedding algorithm was proposed [6] which uses the DeFer algorithm for the optimization of wirelength.
Some of the works in the literature, such as [7,8,9], proposed methodologies for zero skew with sharp clock edge rates at the clock utilization points. Several design methodologies focused on techniques to optimize the process of clock tree synthesis [10,11,12]. Power gating [13], buffer sizing [14], and the insertion of multi-bit flip-flops (MBFFs) [15,16,17] were introduced for the reduction in power consumption and to satisfy the necessary timing constraints. In some designs, clock skew was also present in the intra levels of a clock tree. Adjustable delay buffers were inserted in interconnections to reduce the effect of this clock skew in the timing of the IC [18]. A legalization-based placement algorithm was also proposed [19,20] for an accurate timing analysis. In [15], MBFFs were used during the placement stage for the reduction in power and clock skew. In this method, modules in the layout were clustered for the reduction in clock skew in the clock distribution networks. Even though the clustering reduced the levels of the clock tree, it increased the intra-cluster delay. To resolve the routing complexities due to clock nets, the clock tree generated is segmented to achieve zero skew [21,22]. A power network distribution model was proposed in [23] for which the simultaneous optimized IR drop through power planning reduced wirelength. Since the clock distribution also had an effect on the latency of the design, a constraint-aware clock tree construction algorithm was proposed in [24,25,26].
In this paper, we propose a floorplan-aware clock tree generation methodology that identifies the clocked modules in the design and floorplans of those modules for balancing the clock tree. The modules of the floorplan are initially represented as a skewed binary tree (SKB) [27]. During the perturbation of modules, our proposed methodology considers ranking the clocked modules, which will reduce the length of the clock network.
The remainder of this paper report is organized as follows. Section 2 describes the SKB tree representation and its drawbacks. Section 3 and Section 4 present an introduction to floorplanning representation and our proposed algorithm with its pseudo-code. Simulation and experimental results with IWLS benchmarks using the Cadence Innovus system are presented in Section 5.

2. Problem Formulation

Given a design of the initial floorplan consisting of its functional modules along with its operating voltage levels, we represent the modules in the voltage island using a skewed binary tree (SKB). Consider the floorplan of a simple design in Figure 1. This floorplan has modules which include the clock as a one of its pins. The re-positioning of these clocked modules in the floorplan results in geometric violations and increases the pre-defined width of the voltage island. This may lead to changes in the placement of modules in the neighboring voltage island that increases the routing resource in terms of wirelength [27,28]. For the purpose of quality floorplanning and to satisfy the voltage island constraint, we propose an algorithm to determine the optimal dimensions of the clocked modules in the voltage island. Then, we will incorporate the placement method analogous to the SKB tree for the positioning of modules in their respective voltage islands. To further improve the timing constraints, we will optimize the resulting floorplans iteratively for the reduction in the length of the clock tree. We will evaluate our resulting floorplan with a cost function which possesses wirelength, skew, delay, and power consumption as parameters. After the implementation of the proposed floorplanning methodology in the Cadence Innovus system, simulation results showed that our algorithm scales down the length of the clock tree through reducing the total wirelength in the design. As a result of repeated optimizations, our proposed algorithm also offers power saving and reduces delay compared to the existing SKB methodology.

3. Preliminaries

Since our proposed methodology is based on SKB tree representation, first we review the floorplanning representation and the floorplanning that is used to satisfy the voltage island constraint in [29].

3.1. Floorplanning Representation

Given an initial floorplan with the module dimensions (width, height) and its operating voltage levels, we construct the SKB tree. For the floorplan shown in Figure 1a, we construct the SKB tree shown in Figure 1b. Each level of this tree represents voltage levels and then nodes in these branches are the modules operating at a respective voltage range.

3.2. Placement

The tree structure is traversed using the depth-first search process for the placement of modules from the left corner in the core area of the chip. In case of the formation of a voltage island, the width of the island is determined using the equation W i .
W i = a i a t W c ( 1 + γ )
In the above Equation (1), a i refers to the total area of modules in the power domain, a t refers to the total area of the chip, W c refers to the width of the chip, and γ refers to the allowable dead space. A queue structure is maintained for the modules which fail to fit inside the estimated width of the voltage island. Before the placement of the next module, priority is given to the modules in the queue structure. Thus, the algorithm performs the placement process in the voltage island. The algorithm has a unique feature called a cluster constraint, to limit the density of modules in the voltage island. This feature helps to avoid a high density in the voltage island; thereby, it reduces congestion due to wirelength.

3.3. Perturbations

To satisfy the dead space constraint, the algorithm adopts the refinement of abnormal modules through rotation and perturbations. Three different perturbations were performed in this algorithm: the exchange of modules between voltage, inside the voltage island, and the change in the order of voltage islands.

3.4. Our Contributions

Our proposed algorithm undergoes the process explained in Section 4. Different from the SKB tree methodology, our proposed algorithm avoids cluster constraints so as to achieve less routing area. Additionally, our proposed algorithm avoids the refinement of modules in order to fulfill the current flow constraint during the routing stage.

4. Proposed Early Clock Planning Algorithm

In this section, we explain our proposed early clock planning algorithm for reducing the length of the clock tree.
Given an initial floor plan, we use SKB representation to arrange the modules of the floor plan. After the arrangement of modules, we identify the modules as having a clock pin. The algorithmic description of our proposed algorithm is given in the early clock planning algorithm (T).
The steps 1–2 involve inserting the modules in the tree structure as shown in Figure 1. The depth-first search process is used to traverse the tree which visits each module in the levels of tree T. Using the steps 3–6 for modules in every level of the tree, we use the function which determines the optimal dimensions of the clocked modules. In Step 7, if the search locates the clocked modules, it marks that module as CLK in the tree T. After distinguishing the modules with clock pins, we implement our proposed algorithm named C l o c k _ O p t _ d i m e n s i o n   ( m i , m j ) which identifies the suitable dimensions of the clocked modules and their relatively connected modules in the tree structure.
Using Algorithm 1 for all visited clocked modules, we identify the optimal dimensions. Since the module m i 1 and m i + 1 may also connect with the clock pin module m i , Algorithm 2 also finds the optimal dimensions of m i 1 and m i + 1 .
Algorithm 1: Early clock planning algorithm (T)
1.A tree T, with nodes representing modules in the design
2. l e v e l   1 , where l e v e l T
3.for level do
4.               M T ; m i m j M
5.         Traverse tree T, using DFS;
6.         mark clocked modules as CLK;
7.         Choose m i   a n d   m j
8.               C l o c k _ O p t _ d i m e n s i o n ( m i , m j ) ;
9.         update m i   a n d   m j ;
10. end for
Algorithm 2: C l o c k _ O p t _ d i m e n s i o n   ( m i , m j )
1. for m i = C L K do
2.         O p t _ d i m e n s i o n ( m i , m i 1 )
3.           O p t _ d i m e n s i o n ( m i , m i + 1 )
4.end for
5.Update m i , m i 1 ,   and   m i + 1

Placement

After obtaining the optimal dimensions of the clocked modules, it is updated in the tree structure so as to perform the placement of modules inside the core area of the chip as shown in Figure 2. Before the placement of modules, the width of the voltage island is obtained from the Equation (1). We undergo a similar placement process as in SKB after the implementation of our proposed methodology as it reduces the computational complexity.
Figure 2a shows the updated dimensions of modules in the tree structure. Figure 2b–d illustrates the arrangement of modules from the left corner of the chip. Even though the floorplan is with optimal dimensions, there is a need for optimization in order to reduce the dead space. For the reduction in this unused space, we optimize the resultant floorplan with simulated annealing with the cost function given in Equation (2).
C o s t ( F 1 ) = P + W L + D
To further improve the routing and avoid the skew induced due to routing, we optimize the floorplans with the cost function in Equation (3).
C o s t ( F 2 ) = P + W L + D
In the above Equations (2) and (3), P refers to power in nW, WL refers to wirelength μ m , D refers to delay in pico seconds, and skew is measured in pico seconds.

5. Simulation Results

In this section, we showcase the results of three different experiments which were performed on IWLS benchmark circuits. Table 1 shows the hardware description of IWLS benchmarks after synthesis in 45 nm technology.
In this work, we use the size clock buffers of the tree to balance the generated clock tree. We use the engineering change order (ECO) in the Cadence Innovus EDA to choose the clock buffers. Currently, multiple supply voltage designs are the choice for the reduction in the dynamic power consumption of the high-speed ICs. Hence, in this work, we use low-threshold voltage standard cells from the technology library.
The experiment setup carried out using the Cadence Innovus is shown in Table 2. In the first experiment, we tend to perform iterations for the convergence of the cost function given in Equations (2) and (3) to enhance the performance of the proposed floorplan. In the second experiment, we compare our proposed floorplan with existing SKB tree methodology with three different aspect ratios to satisfy the fixed outline constraint. Finally, in the third experiment, we make a comparison of slack time, the number of sub-trees, and the number of levels in the clock tree with the existing method after clock tree synthesis (CTS).

5.1. Performance of the Floorplan in Iterations

This study is further performed with various iterations of the floorplan based on proposed early clock planning methodology. We terminate an iteration if two consecutive cost function values are of the same value. Table 3 and Table 4 show the floorplanning results for AES _ CORE and DSP _ CORE . The first column shows the number of iterations performed. Columns 2–4 show the results of total power consumption, delay, and wirelength in different power domains. Column 5 shows the cost function results which are calculated using the Equation (2). Figure 3 and Figure 4 depict the layout after the implementation of the proposed methodology in AES _ CORE and DSP _ CORE .

5.2. Comparisons between the Proposed Floorplan Methodology and the SKB Tree-Based Floorplan after CTS

Here, we compare our results after performing clock tree synthesis and calculate the number of levels, number of sub-trees, skew, and slack time of both the proposed and existing methods. Table 5 shows the skew results after the implementation of the proposed algorithm. For the reduction in induced clock skew, we optimize the resulting floorplans using the Equation (3).
Table 5 shows that the number of levels of the clock tree of the proposed method is less than the existing method in Table 6. We can observe that the number of sub-trees, skew, and slack time in the clock distribution depends on the number of levels of the clock tree. The lower the number of levels, the lower the sub-tree is, the lower the skew is, and the slack time will be close to zero. In Table 5 the columns 2–5 are our proposed method results and the columns 6–9 are the existing method. All the comparisons given in the table shows that our proposed method performs better than the existing method. Figure 5 shows the clock tree generated after implementing our proposed methodology in DSP_CORE.

6. Conclusions

The emerging VLSI integrated circuits and applications require a general methodology for the design of the chip for high speeds of operation and for processing the information. The hardware elements in the critical path of the design have impacts on power and timing constraints. In this paper, we focused on these two issues by presenting an early clock plan-based floorplanning algorithm that optimizes the fixed outline constraint, voltage island constraint, and reduces delay substantially. In the proposed framework with the iterative optimization algorithm, it achieves practicality with the IWLS benchmark netlist synthesized at 45 nm. The experimental results reveal that the proposed floorplanning methodology shows significant improvements in clock skew, delay, and power saving though reduction in wirelength. 3D and monolithic-based integrated circuit designs are the most promising techniques for the compact fabrication of chips consisting of more than millions of transistors. Even though our proposed floorplanning methodology provides solutions to multiple supply voltage designs which aids in satisfying timing constraints by reducing the size of the clock tree, it needs to be reconstructed for 3D and monolithic ICs. This provides the motivation for our future work, which is to propose a novel physical design methodology which satisfies the desired timing constraints while designing 3D and monolithic ICs.

Author Contributions

Conceptualization, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S., C.B. and J.L.M. and N.K.S.; methodology, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S., A.A.C., C.B. and J.L.M.; software, B.S., A.A.C.,C.B., J.L.M.; validation, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S., A.A.C., C.B. and J.L.M.; formal analysis, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S., A.A.C., C.B. and J.L.M.; investigation B.S, R.V., A.B.B., R.R., M.A.M., N.K.S., A.A.C., C.B. and J.L.M.; resources, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S.,C.B. and J.L.M.; data curation, B.S., C.B., J.L.M.; writing—original draft preparation, B.S. and C.B.; writing—review and editing, B.S., J.L.M. and C.B.; visualization, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S., A.A.C., C.B. and J.L.M.; supervision, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S., A.A.C., C.B. and J.L.M.; project administration, B.S., R.V., A.B.B., R.R., M.A.M., N.K.S., A.A.C., C.B. and J.L.M.; funding acquisition, J.L.M. and C.B. All authors have read and agreed to the published version of the manuscript.

Funding

The authors extend their appreciation to the Deanship of Scientific Research at King Khalid University, Kingdom of Saudi Arabia for funding this work through General Research Project under the grant number (RGP. 1/262/42).

Data Availability Statement

Experimental data is available upon request.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Pangjun, J.; Sapatnekar, S.S. Low-power clock distribution using multiple voltages and reduced swings. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems; IEEE: New York, NY, USA, 2002; Volume 10, pp. 309–318. [Google Scholar]
  2. Igarashi, M.; Usami, K.; Nogami, K.; Minami, F.; Kawasaki, Y.; Aoki, T.; Takano, M.; Sonoda, S.; Ichida, M.; Hatanaka, N. A low-power design method using multiple supply voltages. In Proceedings of the 1997 International Symposium on Low Power Electronics and Design, Monterey, CA, USA, 18–20 August 1997; pp. 36–41. [Google Scholar]
  3. Tsai, C.C.; Lin, T.H.; Tsai, S.H.; Chen, H.M. Clock planning for multi-voltage and multi-mode designs. In Proceedings of the 2011 12th International Symposium on Quality Electronic Design, Santa Clara, CA, USA, 14–16 March 2011; pp. 1–5. [Google Scholar]
  4. Lung, C.L.; Zeng, Z.Y.; Chou, C.H.; Chang, S.C. Clock skew optimization considering complicated power modes. In Proceedings of the 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010), Dresden, Germany, 8–12 March 2010; pp. 1474–1479. [Google Scholar]
  5. Rajesh, A.; Raju, B.L.; Reddy, K.C.K. Design of voltage scaled level converters in low power clock distribution networks. In Proceedings of the 2016 IEEE International Conference on Recent Trends in Electronics, Information Communication Technology (RTEICT), Bangalore, India, 20–21 May 2016; pp. 579–583. [Google Scholar]
  6. Tsai, J.-L.; Chen, T.-H.; Chen, C.C.P. Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; IEEE: New York, NY, USA, 2004; Volume 23, pp. 565–572. [Google Scholar]
  7. Roy, S.; Mattheakis, P.M.; Masse-Navette, L.; Pan, D.Z. Evolving challenges and techniques for nanometer soc clock network synthesis. In Proceedings of the 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Guilin, China, 28–31 October 2014; pp. 1–4. [Google Scholar]
  8. Balboni, A.; Costi, C.; Pellencin, M.; Quadrini, A.; Sciuto, D. Clock skew reduction in ASIC logic design: A methodology for clock tree management. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; IEEE: New York, NY, USA, 1998; Volume 17, pp. 344–356. [Google Scholar]
  9. Chan, T.B.; Kahng, A.B.; Li, J. Nolo: A no-loop, predictive useful skew methodology for improved timing in ic implementation. In Proceedings of the Fifteenth International Symposium on Quality Electronic Design, Santa Clara, CA, USA, 3–5 March 2014; pp. 504–509. [Google Scholar]
  10. Lin, M.P.H.; Hsu, C.C.; Chang, Y.T. Recent research in clock power saving with multi-bit flip-flops. In Proceedings of the 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), Seoul, Korea, 7–10 August 2011; pp. 1–4. [Google Scholar]
  11. Tengand, S.K.; Soin, N. Low power clock gates optimization for clock tree distribution. In Proceedings of the 2010 11th International Symposium on Quality Electronic Design (ISQED), San Jose, CA, USA, 22–24 March 2010; pp. 488–492. [Google Scholar]
  12. Dev, M.P.; Baghel, D.; Pandey, B.; Pattanaik, M.; Shukla, A. Clock gated low power sequential circuit design. In Proceedings of the 2013 IEEE Conference on Information Communication Technologies, Thuckalay, India, 11–12 April 2013; pp. 440–444. [Google Scholar]
  13. Chen, S.Y.; Lin, R.B.; Tung, H.H.; Lin, K.W. Power gating design for standard-cell-like structured ASICs. In Proceedings of the 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010), Dresden, Germany, 8–12 March 2010; pp. 514–519. [Google Scholar]
  14. Balasubramanian, S.; Panchanathan, A.; Chokkalingam, B.; Padmanaban, S.; Leonowicz, Z. Module Based Floorplanning Methodology to Satisfy Voltage Island and Fixed Outline Constraints. Electronics 2018, 7, 325. [Google Scholar] [CrossRef] [Green Version]
  15. Lin, M.P.H.; Hsu, C.C.; Chen, Y.C. Clock-tree aware multibit flip-flop generation during placement for power optimization. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems; IEEE: New York, NY, USA, 2015; Volume 34, pp. 280–292. [Google Scholar]
  16. Yan, J.T.; Chen, Z.W. Construction of constrained multi-bit flip-flops for clock power reduction. In Proceedings of the 2010 International Conference on Green Circuits and Systems, Shanghai, China, 21–23 June 2010; pp. 675–678. [Google Scholar]
  17. Shyu, Y.T.; Lin, J.M.; Huang, C.P.; Lin, C.W.; Lin, Y.Z.; Chang, S.J. Effective and efficient approach for power reduction by using multi-bit flip-flops. In IEEE Transactions on Very Large Scale Integration (VLSI) Systems; IEEE: New York, NY, USA, 2013; Volume 21, pp. 624–635. [Google Scholar]
  18. Jooand, D.; Kim, T. Managing clock skew in clock trees with local clock skew requirements using adjustable delay buffers. In Proceedings of the 2015 International SoC Design Conference (ISOCC), Gyeongju, Korea, 2–5 November 2015; pp. 137–138. [Google Scholar]
  19. Moon, H.; Kim, T. Design and allocation of loosely coupled multi-bit flip-flops for power reduction in post- placement optimization. In Proceedings of the 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), Macao, China, 25–28 January 2016; pp. 268–273. [Google Scholar]
  20. Chen, Z.W.; Yan, J.T. Routability-driven flip-flop merging process for clock power reduction. In Proceedings of the 2010 IEEE International Conference on Computer Design, Amsterdam, The Netherlands, 3–6 October 2010; pp. 203–208. [Google Scholar]
  21. Chong, A.B. ASIC clock tree estimation in design planning. In Proceedings of the 2013 4th International Conference on Intelligent Systems, Modelling and Simulation, Bangkok, Thailand, 29–31 January 2013; pp. 619–626. [Google Scholar]
  22. Krishnamoorthy, R.; Krishnan, K.; Chokkalingam, B.; Padmanaban, S.; Leonowicz, Z.; Holm-Nielsen, J.B.; Mitolo, M. Systematic Approach for State-of-the-Art Architectures and System-on-Chip Selection for Heterogeneous IoT Applications. IEEE Access 2021, 9, 25594–25622. [Google Scholar] [CrossRef]
  23. Huang, S.-H.; Wang, C.-L. An effective floorplan- based power distribution network design methodology under reliability constraints. In Proceedings of the 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat No.02CH37353), Phoenix-Scottsdale, AZ, USA, 26–29 May 2002; Volume 1, pp. 353–356. [Google Scholar]
  24. Man, X.; Kimura, X. Comparison of optimized multi-stage clock gating with structural gating approach. In Proceedings of the TENCON 2011—2011 IEEE Region 10 Conference, Bali, Indonesia, 21–24 November 2011; pp. 651–656. [Google Scholar]
  25. Guo, J.; Cao, P.; Wu, J.; Liu, Z.; Yang, J. Analytical Gate Delay Variation Model with Temperature Effects in Near-Threshold Region Based on Log-Skew-Normal Distribution. Electronics 2019, 8, 501. [Google Scholar] [CrossRef] [Green Version]
  26. Balasubramanian, S.; Chokkalingam, B.; Krishnamoorthy, R.; Adedayo, Y. Power optimization through FuzzyMinProduct algorithm for voltage assignment in SOC design. J. Appl. Sci. Eng. 2020, 23, 655–659. [Google Scholar]
  27. Khan, N.; Castro-Godinez, J.; Xue, S.; Henkel, J.; Becker, J. Automatic Floorplanning and Standalone Generation of Bitstream-Level IP Cores. IEEE Trans. Very Large Scale Integr. Syst. 2021, 29, 38–50. [Google Scholar] [CrossRef]
  28. Sadeghi, A.; Zolfy Lighvan, M.; Prinetto, P. Automatic and Simultaneous Floorplanning and Placement in Field-Programmable Gate Arrays with Dynamic Partial Reconfiguration Based on Genetic Algorithm. Can. J. Electr. Comput. Eng. 2020, 43, 224–234. [Google Scholar] [CrossRef]
  29. Lin, J.-M.; Hung, Z.-X. SKB-tree: A fixed-outline driven representation for modern floorplanning problems. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2011, 20, 473–484. [Google Scholar] [CrossRef]
Figure 1. (a) Floorplan representation, and (b) placement of modules in the layout.
Figure 1. (a) Floorplan representation, and (b) placement of modules in the layout.
Electronics 10 02795 g001
Figure 2. Placement process after implementation of proposed methodology. (a) tree structure; (b) placement of modules inside the core area of the chip 1; (c) placement of modules inside the core area of the chip 2; (d) placement of modules inside the core area of the chip 3.
Figure 2. Placement process after implementation of proposed methodology. (a) tree structure; (b) placement of modules inside the core area of the chip 1; (c) placement of modules inside the core area of the chip 2; (d) placement of modules inside the core area of the chip 3.
Electronics 10 02795 g002
Figure 3. Layout: After implementation of proposed early clock floorplanning in AES_CORE.
Figure 3. Layout: After implementation of proposed early clock floorplanning in AES_CORE.
Electronics 10 02795 g003
Figure 4. Layout: After implementation of proposed early clock floorplanning in DSP_CORE.
Figure 4. Layout: After implementation of proposed early clock floorplanning in DSP_CORE.
Electronics 10 02795 g004
Figure 5. Layout: Clock tree after implementation of proposed methodology in DSP_Core.
Figure 5. Layout: Clock tree after implementation of proposed methodology in DSP_Core.
Electronics 10 02795 g005
Table 1. The hardware description of IWLS benchmarks for the Specification of design at the 45 nm node.
Table 1. The hardware description of IWLS benchmarks for the Specification of design at the 45 nm node.
BenchmarkFunctionSequentialInverterBufferLogicTotal
AES_COREAES Cipher530558927414,40220,795
DSP_CORE16-bit DSP361152584223,52332,436
DMA_CORE DMA2192267825313,99519,118
AC_97CTRLWISHBONE 21991525111802011,855
Table 2. The hardware description of Cadence Innovus for the Specification of design at the 45 nm node.
Table 2. The hardware description of Cadence Innovus for the Specification of design at the 45 nm node.
Benchmark CircuitAES_COREDSP_COREDMA_COREAC_97ctrl
No. of Modules present17281516
No. of Clocked Modules2657
Aspect Ratio1:1, 2:1, 3:11:1, 2:1, 3:11:011:01
Core utilization70%70%70%70%
Supply Voltages(V)1.1, 0.91.1, 0.91.1, 0.91.1, 0.9
Table 3. Iterative optimization in AES_CORE floorplan.
Table 3. Iterative optimization in AES_CORE floorplan.
IterationsTotal Power (nW)Delay (ps)Wirelength (um)Cost Function
PD-1PD-2PD-1PD-2PD-1PD-2PD-1PD-2
Iteration-12.643.0733.622.90.530.5366.9236.638
Iteration-23.182.1362.434.5590.570.4826.3127.309
Iteration-33.372.0952.935.360.550.4846.9828.066
Iteration-42.22.1096.262.9840.560.4979.1525.723
Iteration-53.192.1184.893.1690.540.58.7525.92
Iteration-63.132.124.793.1720.540.58.595.924
Table 4. Iterative optimization in DSP_CORE floorplan.
Table 4. Iterative optimization in DSP_CORE floorplan.
IterationsTotal Power (nW)Delay (ps)Wirelength (um)Cost Function
PD-1PD-2PD-1PD-2PD-1PD-2PD-1PD-2
Iteration-16.316.9021.962.3610.870.1159.519.6
Iteration-26.615.3042.3012.3250.880.119107.97
Iteration-35.218.0393.922.5610.80.17610.211
Iteration-46.727.3842.2512.3850.850.1351010
Iteration-56.717.2652.3132.2220.860.13510.19.95
Table 5. Skew results after CTS using our proposed methodology.
Table 5. Skew results after CTS using our proposed methodology.
CircuitLevelsSub-TreesSkewSlack Time
Rise Time (ps)Fall Time (ps)
AES_CORE11000.029
DSP_CORE34986.999.33.215
DMA_CORE32527.423.80.091
AC97_ctrl55318.218.1−1.3
Table 6. Skew results using existing methodology.
Table 6. Skew results using existing methodology.
CircuitLevelsSub-TreesSkewSlack Time
Rise Time (ps)Fall Time (ps)
AES_CORE11000.029
DSP_CORE553106.3107.8−4.31
DMA_CORE557101.5112.5−3.13
AC97_ctrl76428.229.3−2.89
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Srinath, B.; Verma, R.; Barnawi, A.B.; Raja, R.; Muqeet, M.A.; Shukla, N.K.; Christy, A.A.; Bharatiraja, C.; Munda, J.L. An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts. Electronics 2021, 10, 2795. https://doi.org/10.3390/electronics10222795

AMA Style

Srinath B, Verma R, Barnawi AB, Raja R, Muqeet MA, Shukla NK, Christy AA, Bharatiraja C, Munda JL. An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts. Electronics. 2021; 10(22):2795. https://doi.org/10.3390/electronics10222795

Chicago/Turabian Style

Srinath, B., Rajesh Verma, Abdulwasa Bakr Barnawi, Ramkumar Raja, Mohammed Abdul Muqeet, Neeraj Kumar Shukla, A. Ananthi Christy, C. Bharatiraja, and Josiah Lange Munda. 2021. "An Investigation of Clock Skew Using a Wirelength-Aware Floorplanning Process in the Pre-Placement Stages of MSV Layouts" Electronics 10, no. 22: 2795. https://doi.org/10.3390/electronics10222795

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop