Next Article in Journal
High Performance Classification Model to Identify Ransomware Payments for Heterogeneous Bitcoin Networks
Previous Article in Journal
SCMA Codebook Design Based on Decomposition of the Superposed Constellation for AWGN Channel
Previous Article in Special Issue
Development Status and Multilevel Classification Strategy of Medical Robots
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Edge Devices for Internet of Medical Things: Technologies, Techniques, and Implementation

1
Department of Computer Science, Hekma School of Engineering, Computing, and Informatics, Dar Al-Hekma University, Jeddah 22246-4872, Saudi Arabia
2
Department of Computing, University of Turku, FI-20014 Turku, Finland
3
Higher Institute of Computer Sciences and Mathematics, Department of Technology, University of Monastir, Monastir 5000, Tunisia
4
Division of Electronics and Embedded Systems, School of Electrical Engineering and Computer Science, KTH Royal Institute of Technology, SE-164 40 Kista, Sweden
5
Measurements and Sensor Technology, Faculty of Electrical Engineering and Information Technology, Chemnitz University of Technology, W206, 09126 Chemnitz, Germany
*
Author to whom correspondence should be addressed.
Electronics 2021, 10(17), 2104; https://doi.org/10.3390/electronics10172104
Submission received: 22 July 2021 / Revised: 18 August 2021 / Accepted: 21 August 2021 / Published: 31 August 2021
(This article belongs to the Special Issue Electronics Application in Medicine & Health Care)

Abstract

:
The health sector is currently experiencing a significant paradigm shift. The growing number of elderly people in several countries along with the need to reduce the healthcare cost result in a big need for intelligent devices that can monitor and diagnose the well-being of individuals in their daily life and provide necessary alarms. In this context, wearable computing technologies are gaining importance as edge devices for the Internet of Medical Things. Their enabling technologies are mainly related to biological sensors, computation in low-power processors, and communication technologies. Recently, energy harvesting techniques and circuits have been proposed to extend the operating time of wearable devices and to improve usability aspects. This survey paper aims at providing an overview of technologies, techniques, and algorithms for wearable devices in the context of the Internet of Medical Things. It also surveys the various transformation techniques used to implement those algorithms using fog computing and IoT devices.

1. Introduction

The need for a better quality of life has led to revolutions in many aspects of human life. The healthcare system is considered vital for modern society. In the legacy system, patients have to move to a healthcare center for treatment or diagnosis. Additionally, patients with some chronic diseases, such as cardiovascular and diabetes, have to be checked on a regular basis. However, the access to healthcare services for remote people in the legacy system is considered a challenge. The 2014 statistics from the world health organization (WHO) emphasise that there are over 422 million diabetes patients worldwide. In 2019, over 1.5 million people world-wide lost their lives due to diabetes which is a cause for real concern [1]. International communities and national governments have adopted e-health and mobile health systems to improve healthcare services and make them affordable and accessible for everyone [2,3]. Figure 1 shows the three pillars of the e-health system.
The miniaturization of electronic devices along with advances in communication technologies have enabled the renovation of the healthcare system, among others, by tele-monitoring of patients, tracking of the health record, automatic emergency calls, and telediagnosis. For instance, it has been observed by using empirical data that type-1 diabetes patients are threatened by hypoglycemia, which is the principal cause of the sudden nocturnal death. Hypoglycemia can be easily detected by a continuous monitoring of the glucose level and the electrocardiogram (ECG) signal. In case the QT-interval of the ECG signals is larger than 445 ms and the glucose level is below 3.5 mmol/L, then the patient will be at a high risk of sudden death [4].
Wearable technologies, such as smart watches and wristbands, are some of the enabling technologies for e-health, as shown in Figure 2. An e-health system is generally composed of, among others, wireless sensors that form a body area network (BAN), wearable devices to collect data from the sensors, a gateway for internet access, a cloud server to process and store e-health data. The server is usually located in health clinics or hospitals.
Wearables are battery-limited devices, which creates a stringent limit on their computational capability. To extend further the operating time of the wearables, energy-harvesting techniques, wireless power transfer along with duty cycling have been suggested in many published reports [5]. Such systems are composed of a communication module, sensors, micro controllers, an energy management unit, battery, and an energy scavenging or receiver unit.
The Internet of Medical Things, IoMT, is a system in which medical devices and healthcare units are interconnected using IoT technology [6]. Wearable medical devices are cardinals in the IoMT as they can collect vital biological data to healthcare givers. The IoMT is a system of systems in which a multitude of technologies, platforms, and algorithms are used all the way from the sensor layer to the cloud computing layer.
This contribution aims at reviewing latest breakthrough in the IoMT by focusing on the the following domains:
  • Semiconductor and multicore technology.
  • Energy harvesting and transfer.
  • Algorithm transformation techniques.
  • Implementation of deep neural networks on edge devices.
  • User-centered design of IoMT.
The paper is organized as follows. Section 2 compares our work with existing review works. Section 3 describes the technologies, algorithms and paradigm in designing energy-efficient IoMT edge devices. Section 4 focuses on the energy harvesting sources and solutions for the IoMT low-end node. Section 5 presents platforms and transformation techniques for the energy efficient implementation of DNN algorithm on IoMT edge devices. Section 6 presents approaches for a user-centered design of IoMT. Finally, Section 7 concludes the paper. Table 1 summarizes the list of abbreviations used throughout the paper. The list of symbols, their meanings and typical values are shown in Table 2.

2. Related Works

Numerous survey papers on e-health have been published recently [7,8,9,10,11,12,13,14,15]. A systematic review of the wearable sensors to monitor human activities is the focus of [7]. The work in [8] surveyed sensors, communication protocols and hardware platforms used in wearable and unobtrusive devices. The authors discussed the following short-range communication protocols: Zigbee, NFC, UWB, and WiFi. However, the security and the algorithms used in the wearable devices were not considered. The authors of [9] summarized the research work in wireless communication (WAN, LAN, and BAN) and briefly discussed energy harvesting for wearables. However, energy-efficiency cannot be achieved by looking at wireless communication only. Innovation in sensor technology, and the low-power design of the integrated circuits for wearables from the system down to the layout should be considered. On the same topic, in [10], wireless communication protocols for a body area network were compared based on four metrics: transmission range, latency, power consumption, security and privacy, and data rate. It was concluded that ZigBee and low-power Bluetooth are the most promising candidates for BAN. The design requirements of the biomedical wearables were the focus of the work presented in [11]. The authors developed factors that should be considered for developing biomedical wearables. Those factors include cost, features of the biomedical signal, human factors, and the ecosystem. The study focused on hardware requirements for four sensors (PPG, EMG, microphone, and IMU) and two embedded systems (Arduino and Raspberry PI). The work lacks discussions of algorithms used to process big IoMT data, energy harvesting, power management techniques which are vital for wearables. A few shortcomings of the previous survey work have been addressed in [12]. The authors reviewed the existing technologies, algorithms, and architectures for the processing of medical data. In particular, the authors described the architecture, technologies, and application of the IoMT, cloud computing IoMT and edge-cloud IoMT. The discussed IoMT technologies are RFID, wireless sensor networks and middleware. The authors considered AI the technology of the cloud computing layer. However, the trend in the IoT is to move AI to the edge and fog layers. The work of [13] reviewed existing IoMT monitoring systems and the machine learning algorithms used at the edge layer. The application of edge, fog, and cloud computing in e-health was the focus of the review work described in [15]. The authors surveyed machine learning algorithms for monitoring, classification of biomedical signals and predictions of patient well-being. They further analyzed the pros and cons of edge intelligence for an IoT health care system. However, hardware implementation techniques of machine learning algorithms’ target reliability and power efficiency were overlooked. The survey work reported in [14] dealt with summarizing latest research breakthroughs in technologies, services, and applications of health IoT systems (HIoT). Furthermore, the authors provided open issues in adopting HIoT. Among the listed challenges are energy consumption, interoperability, security and privacy, and scalability. The technologies considered by the authors are the identification standards, wireless communication standards, and localization services. Table 3 compares our work with the existing survey papers in this field.

3. Technologies: Internet of Medical Things

3.1. Technologies for IoMT Edge Devices

For years, the CMOS transistor was the subject of aggressive scaling. The aim was to reduce the feature size of the transistor to gain performance, lower power consumption, and increase the circuit complexity, among other benefits.
Let S be the scaling factor. According to Dennard’s scaling law, as clock frequency increases by S, the switched power dissipation and the area per gate decreases by S 2 . For decades, Dennard’s law has been used as a guideline to scale the supply voltage for the CMOS technology. According to the ITRS roadmap, 2009 edition, the scaling factor S was 1.44 per technology cycle. Per contra, the scaling of the supply voltage and the threshold voltage for a gate length below 90 nm do not scale at the same speed as the gate length [16].
In sub-nanometer design, the planar bulk CMOS reached a dead-end [17,18]. The known factors that led to the dispensing of bulk CMOS block are the short-channel effect and leakage current. In the 70 nm channel length, the active mode leakage current is responsible for over 40% of the total power consumption [19]. Dark silicon has emerged as a design challenge in the nanometer regime. Several design techniques to circumvent the dark silicon issues have been elaborated upon in [20,21].
The FinFET transistor has been proposed as a substitute for the bulk CMOS transistor [22]. In the double-gate FinFET, the channel length is controlled by two gates. The gates can be independent (IG-FinFET) or shorted (SG-FinFET). Faster logic gates are constructed using SG-FinFET, whereas low-power devices are designed using IG-FinFET.
The micro-controller is the core technology for both low-end and middle-end devices [23]. For the high-end device, the single board device is dominant technology.
The market survey shows that ARM’s 32-bit processor, Cortex-M3, is the leading technology for the development of low-end devices. Table 4 summarizes the processor/microcontroller family, manufacturer, and target application using the cortex-M3 core.
The processor manufactured using 28 nm technology consumes 8 μW/MHz. The reported efficiency of the processor was 3.34 CoreMark/MHz. The Cortex-M3 can be deployed at various levels in the IoT chain (end-device, gate-ways, and cloud services).
The Cortex-M3 is based on Armv7-M architecture, using a secure and open-source operating system, mbed. The security of the mbed is insured using a multilayer security architecture: hardware, software, communication, and life-cycle. Furthermore, mbed supports the following short-range and long-range connectivity: Bluetooth low-energy, Wi-Fi, Ethernet, cellular, NFC, RFID, LoRa LPWAN, and 6LoWPAN Sub-GHz Mesh. The Cortex-M3 architecture allows for the integration of a crypto-accelerator. Crypto-algorithms can be implemented using dedicated accelerators or using the instruction set (software implementation). A number of wearables have been designed using cortex-M3 technology [24].
Traditionally, at the onset of the cloud computing era, data collected from IoMT devices are processed by cloud servers using computationally intensive tasks like machine learning algorithms and big data analytics [25]. However cloud-based computing is an energy-inefficient solution, incurs extra latency and puts user privacy at risk. To circumvent these shortcomings, fog-based computing has been advocated (Figure 3). Edge devices in the fog computing paradigm can process, store, and transmit data.
Recently, multicore technology has received ample attention in the realization of IoT edge devices. It is well-known that in low-power design, the most effective way for a substantial power reduction is to reduce the supply voltage. However, the speed of the logic devices deteriorates. Parallel processing is one approach that alleviates the speed-degradation. In processor design, increasing the number of low-power cores is an effective approach for low-power design. In the nanometer regime, near-threshold computing combined with parallel processing is an effective way for reducing the power consumption of digital circuitry. This approach has been used in [26] to design a quad-core RISC-V processor for IoT endpoints. The processor is designed with compressed instructions, deploys an L0-buffer to reduce cache access, uses a logarithmic interconnect for the inter-core communication and core–memory data transfer. Cache memories are accessed via a dedicated interconnect. The reported efficiency of the processor implemented using 65nm bulk CMOS is 67 MOPS/mW. Figure 4 illustrates a simplified architecture of the Parallel Ultra-Low-Power Processor (PULP). The processor was used to design wearables that collect ECG and EMG signals [27]. The wearable has higher energy efficiency compared with similar wearables designed using ARM-Cortex M4F and M7.

3.2. Algorithms for IoMT Edge Devices

3.2.1. Sub-Nyquist Sampling

Compressive sensing, or sub-Nyquist sampling, is an effective signal processing technique that has been used to reduce the power consumption for the processing of ECG signal (signal acquisition and compression) [28]. It has been advocated to reduce the sampling rate for redundant signals by taking advantage of the signal sparsity. Mathematically, given a signal x of dimension N, that is x R N , the compressive sensing seeks to transform the signal x into a signal y with L non-zero elements, referred to as L-sparse (cf. (1)).
y = A x ,
where A R M × N is the compressive sensing matrix that should satisfy the restricted isometry property shown in (2).
( 1 δ L ) | | x | | 2 2 | | y | | 2 2 ( 1 + δ L ) | | x | | 2 2 ,
where δ L ( 0 , 1 ) is an isometry constant.
The reconstruction of the signal is achieved by solving the convex optimization problem illustrated in (3)
minimize X | | x | | 2 subject to | | A x y | | 2 δ ,
where δ is an arbitrary small and positive number.
There are three families of algorithm that solve (3): Thresholding, Greedy, and 1 -minimization algorithms. Table 5 categorizes these algorithms. Details of those algorithms are reported in [29].
Compressive sensing, CS, has been recently implemented in a plethora of medical wearables. In [30], the authors implemented the CS algorithm for real-time compression of ECG signals using a wearable device. The scheme is based on three processing stages. In the first stage is linear transformation, the second stage is specification, and the final one is encoding. Three types of compressed sensing matrix were evaluated in terms of latency and percentage root-mean square difference (PRD). The first matrix was generated using quantized normal number generation, the second one was generated using a pseudorandom matrix obtained by processing one stored random vector, and the last one (sparse binary) used a sub-Gaussian random matrix that satisfies the R I P p property. To further reduce the redundancy between packets, Huffman coding with a codeword of size 512 was used. The reconsturction algorithm was implemented on the desktop PC. The reported results showed that the compressive sensing algorithm dissipated 37.1% less energy compared with the discrete wavelet transform.
In [31] an IoT platform is proposed for the real-time monitoring of an ECG signal. The platform is composed of a wearable device that collects the ECG signal, compresses it using the CS algorithm, and sends this wirelessly to an IoT edge device for reconstruction, classification, and analysis. The authors considered two reconstruction algorithms: subspace pursuit and orthogonal matching pursuit. The edge devices were designed using a commercial processor that has eight cores distributed as follows: a cluster of four high-performance cores and a cluster of four low-power cores.

3.2.2. Approximate Computing

Approximate computing is a new paradigm shift in designing circuitry or writing a code that produce acceptable but inaccurate results [32]. This theory has been used for instance in reducing the bitwidth of arithmetic circuits when implementing digital signal processing algorithms using fixed-point representation [33].
Approximate computing can be done at various abstraction levels: data, circuit, architectural, instruction, algorithm, and system level. An approximate circuit is achieved by reducing the number of partial products in designing multipliers [34] and the number of transistors in designing the mirror adder [35]. A comprehensive survey on the approximate arithmetic circuit is reported in [36]. In the biomedical domain, the work of [37] devised an approximate adder circuit by reducing the number of gates from five gates (two AND, two XOR, and one OR) to four gates (two OR, one AND, and one XOR gates). The approximate adder was then used to design an energy-efficient Baugh–Wooley multiplier. In [38], the authors used approximate adders and multipliers to design an energy-efficient wearable that implements the Pan–Tompkins algorithm for the detection of the QRS complex. The algorithm has five stages. First, the ECG signal is recorded and sampled using an ADC converter. Afterwards, the sampled signal is filtered using a low-pass filter followed by a high-pass filter. The output is then squared and integrated. The algorithm is computation-intensive in which the optimization of the bit-width and the architecture of the arithmetic circuits play an inevitable role in reducing the overall power consumption. To accomplish this, the authors used five types of approximated full adders (Figure 5) and two architectures of the approximate multiplier. The approximation starts from the LSB and moves towards the MSB. In each iteration, both energy saving and accuracy of peak detection are determined. The process halts when the maximum number of LSBs has been approximated without affecting the structure similarity index measure (SSIM).
Approximate memory and storage is another approach in the approximate computing topic. It is achieved by the following techniques as bit-trimming, voltage scaling, reducing the refresh rate for DRAM, and approximate compression for selected memory regions [39,40,41].

4. Techniques: Energy Harvesting for IoMT Devices

Nowadays, most wearable devices use batteries as a power supply source. This is inconvenient and leads to limitations of operation time and to a frequent battery replacement. Energy autonomous systems, supplied by energy harvesting or energy transfer, provide promising solutions, which ensure a continuous sensing and transmission of measured data with minimal human intervention. The power consumption of wearable devices is typically in the range from µW even up to W. Therefore, relatively high requirements are expected from the energy harvesters [42] to realize a reliable power supply for wearable devices such as fitness trackers, body attached sensors, and thermoelectric powered watches [43]. In telemedicine, the commonly used sensors may include accelerometers, heart rate monitors, glucose concentration, and blood pressure monitors. Table 6 investigates the power requirements of the mentioned wearable components which constitute an important guideline for the design of the needed energy harvesting system.
The extracted energy cannot be directly supplied to systems. Power management is generally required to achieve rectified and conditioned energy, and to enhance the power extraction ability [49] (Figure 6).

4.1. Energy Harvesting Sources

Efficient energy harvesting from ambient sources is very important and can extend or replace the battery-based supply [50,51,52]. Electrical energy can be extracted using one or a combination of different transduction mechanisms. Several principles of converters can be implemented, such as piezoelectric [53], triboelectric [54], electromagnetic [55] and electrostatic [56] converters. However, the ambient sources can fluctuate, affected by ambient conditions or aging, which makes their predictability and controllability quiet challenging (Table 7).
Piezoelectric energy harvesting is an efficient technique to generate electricity from movements, vibrations, and shocks. Several piezoelectric materials can be used, including crystals, ceramics, and polymers. To enhance the piezoelectric effect, it is required to install the converter in a part of the body that is exposed to a large compressing force. Piezoelectric energy harvesters (PEHs) have been frequently implemented in shoe insoles, where the foot can apply a large amount of pressure [57,58,59]. Several studies focusing on kinetic energy generated by body motion to supply wearable systems, have recently been conducted. In [60] the authors proposed a piezoelectric harvester embedded in the heel of a shoe in order to extract the kinetic energy generated by human walking. Although the device can generate significant levels of power, some optimizations for the structure are required. The mechanical specifications of the piezoelectric ceramics have to be considered in case of their implementations in areas with large stresses such as walking or running. This can consequently be used to avoid mechanical damage to the piezoelectric layers.
Electromagnetic energy harvesting is based on Faraday’s law induction. An electric current is induced once a conductor is moving through an electric field. Electromagnetic energy harvesting systems are designed as a system of springs, magnets and coils. The output power depends mostly on the number of coils and magnetic mass. Therefore, reducing the size, weight and complexity of these energy harvesters is quiet challenging. For example, in [61], the authors demonstrated the performance of a frequency up-converted electromagnetic harvester in harvesting energy from human limbs. In this work, an average power density of 0.33 mW/cm 3 was achieved via low frequency human vibration to supply wearable devices with extremely low frequency (∼5 Hz).
In [62], triboelectric nanogenerators and hybridized systems were reviewed. The authors highlighted the recent development of thermoelectric nanogenerators (TENG)-based hybrid generators (e.g., incorporation of TENG with other transducers such as piezoelectric, electromagnetic and thermoelectric generators) and hybridized systems, from the perspective of operation concepts, energy management strategies, optimizing techniques, and the system integrity. New implementations of these systems for outdoor, indoor, wearable, and implantable applications were also reviewed, with an overview of future trendy applications of hybrid energy harvesters in healthcare, robotics, and the Internet of Things (IoT). They also discussed some challenges for the developed TENG-based generators such as the material optimizations, the output power enhancement, the operation mode and the energy storage strategies.

4.2. Energy Transfer

An interesting alternative for supplying medical wearable devices is energy transfer. Both Radio-Frequency energy transfer (RF) and Inductive Power Transfer (IPT) are interesting for supplying WSN for e-health.
Typically, an IPT system consists of an AC signal generator supplying the transmitter coil to generate magnetic flux, which induces voltage in the receiver coil situated in the proximity of the transmitter coil. To increase the transmission efficiency and the received power, LC resonance on both IPT system sides is required, which consists of additional compensation capacitors connected to the transmitter coil and the receiver coil in series or in parallel [63,64]. The connected loads are generally supplied by a DC voltage within specific voltage and current levels. For that, additional energy management stages includes an AC–DC rectifier and an DC–DC converter becomes primordial of an IPT system. On the other hand, the IPT system works properly within an ideal load impedance, which depends on the current consumption, the output voltage. For that, an impedance matching between both sides is recommended by the uses of controllable gain in the transmitter side as well as controllable DC–DC converter in the receiver side [65].
Moreover, an IPT system works properly with the highest performance when the transmitter and the receiver coils are in resonance conditions as well as concentric position with minimal separation distance [52,66]. The misalignment between the coils situated in transmitter and the receiver sides influences the coupling factor of the IPT systems and then the transmission efficiency and the received power. In the case of flexible coils, additional deviations can occur caused by the bending or stretching of the transmitter or the receiver coils. The bending can be in concave or convex directions. However, when both coils are bent in the same direction and with the same angle, the flexible coil performances become like rigid flat coils (Figure 7). To overcome the bending issues, the selection of a proper coil in terms of the parameters [67] and geometry [68] to tolerate the bending are investigated.
The fabrication of the coil for wearable applications can be generally based on the rigid coil [69], flexible coils [68,70,71] or fabric-based coils [67,72,73] associated with clothes. Rigid coils show the highest efficiency comparing to the other types of coils, but they are not preferred due to their weight and lack of flexibility. On the other hand, flexible coils can be a good alternative with which design a printed coil with different material properties like copper [68] or silver [74] and with various possible geometries and sizes. However, flexible coils are difficult to associate with the clothes, especially where the fabrics are stretchable and a specific fabrication process for the coil is required [73]. For that, many studies work on fabric-based coils and show more and more potential. They can be fabricated by thin and heterogeneous conductor wires via automated sewing machines for high precision and simplicity of integration with clothes.
To solve the flexible coil bending issues, many studies investigate control of the IPT system by varying the supply gain, frequency, or even compensation capacitor values [75]. These control architectures require additional elements on the transmitter side circuit, which increases the system cost and size. On the other hand, some studies [71] apply an IPT with a bent transmitter coil and receiver coil to reach higher performances in terms of coupling factor, as shown in Figure 7c. Others focus on IPT systems with multiple transmitter coils to generate a higher magnetic field [72] for a higher received voltage at the receiving side.

4.3. Energy Harvesting Solutions for Medical Wearable Devices

Several solutions have been proposed to realize energy scavenging from the human body [43,76]. This can be from everyday activities, without performing a specific workout, such as breathing, arm motion, walking, running, or pedaling. Mechanical energy is available from the movements of different body zones like the elbow, the knee, the ankle or heel (Figure 6). In [77], the performance of three different vibration generators was investigated at nine positions on the body of a person walking on a treadmill. The results show that at lower body locations (hip, knee and ankle), the amount of energy generated is four times higher than the amount generated at upper locations.
Body heat provides also interesting possibilities for supplying wearable systems. In [78], a flexible thermoelectric generator (TEG) was able to generate 4.95 mW of power from body heat based on the Seeback effect and was then used to power a wearable multi-sensing bracelet. The self-powered multi-sensing bracelet can work sustainably at various conditions including human motion. In such systems, the amount of energy is highly dependent on the temperature difference between the human body and the ambient temperature [79].
In some investigations, involuntary activities like cardiac motion, blood pressure and breathing have been used to produce biomechanical energy, which can regularly provide energy for wearable devices. In [80] cardiac contractions are used as a source of energy to power low-power pacemakers. The developed harvester delivers 11.1 µJ of electrical energy when powered by a constant 90 bpm heartbeat. The extraction of energy from the human body is in general much more complex than energy harvesting from machines [81] due to the requirement for small size and weight. The available energy is often weak and not easily usable, e.g., human body kinetic energy has often a low frequency and a low amplitude.
In [82] the efficient use of thermoelectric nanogenerators (TENG) in healthcare as a convenience technique for patient rehabilitation was recently demonstrated. A wearable TENG-based rehabilitation device (Rehab-TENG) was implemented as an exercise gaming device while extracting energy. First, they successfully controlled a game on a laptop with the device using arm flexion and extension. This can be an effective technique for testing the motor function of the patients’ impaired arm. Second, the Rehab-TENG device was implemented as an energy harvester in an exercise system where the patient moved their impaired arm to store energy in a capacitor. Based on the charging rate of the storage capacitor, the level of deficiency could be evaluated, which can thereby improve patient compliance since it motivates them to do more repetitive motions of the impaired body zone and this feature can eventually speed up recovery. Finally, authors discussed the possibility of using the Rehab-TENG device as an autonomous home-based exercise and monitoring system which is particularly useful in the current pandemic situation, avoiding hospital visits for rehabilitation treatment and monitoring.
The main trend in energy harvesting technologies for IoT biomedical applications [49] is towards the development of biocompatible wearable energy harvesters such us textiles, footwear or watches to extract energy from the human body, which are lightweight and easy integrable in textiles and provide important possibilities to extend their sizes for a better energy output.

5. Implementation: Deep-learning in IoMT Edge Devices

5.1. Deep Learning

Deep Neural Networks (DNNs), as a branch of Artificial Intelligence (AI), are rapidly growing in both academia and industry, showing superior advantages in different domains such as pattern recognition, speech recognition, image classification, and computer vision [83,84]. They are also opening the path to new critical domains as E-health, self-driving cars, and surgery robots, where high precision and safety are the highest priority. As shown in Figure 8, a DNN is composed of a number of neurons, arranged in layers as input, output, and hidden layers, where each neuron performs a simple Multiply-And-Accumulate (MAC) operation.
DNN computation is composed of two main steps of training and inference. In the training phase, weights are learned and, in the inference phase, the learned weights are fixed and deployed on the underlying hardware. Training is an offline process while inference is an online process. So, the inference time and power consumption should be kept as small as possible, especially if the DNN is going to be deployed on edge devices in the IoMT.
There are several popular deep learning architectures such as Convolutional Neural Networks (CNN), Deep Belief Networks (DBN), and Recurrent Neural Networks (RNN). Deep Learning architectures can be executed on different hardware platforms which offer different levels of performance and power efficiency, ranging from powerful devices in data centers down to resource-limited edge devices. A large body of research focuses on designing new optimized platforms or algorithms which could facilitate DNN deployment. Among them, the deployment of DNNs on embedded systems is more challenging as these platforms have limited computation resources and storage, and are usually battery-enabled. In the following, we investigate different platforms to execute DNNs. Then, we review different approaches to reduce power in resource-constraint embedded devices.

5.2. Platforms to Execute DNN Applications

There are several popular platforms with which to execute DNNs such as Central Processing Units (CPUs), Graphics Processing Units (GPUs), and Field Programmable Gate Arrays (FPGAs) [85]. Application-Specific Integrated Circuits (ASICs) are also experiencing increasing popularity in DNN computation as they can offer optimized performance and power efficiency. Many-core systems-on-chip (MPSoCs) are another platform providing flexibility for the communication among neurons. These platforms can be embedded into a system, called embedded systems, to perform a specific task. Various attempts have been conducted so far to improve the efficiency of such platforms for DNN applications, either targeting the training or inference phase [86]. Concerning IoT devices, the inference phase is of our interest, where the trained model is deployed on the device. The computations in the inference phase can be optimized using frameworks such as Tensorflow Lite, TensorRT, XLA, AMP, and Arm NN. These frameworks try to bridge the gap between the DNN models and the underlying hardware platforms. Among them, TensorflowLite and TensorRT are the engines targeting IoT and embedded devices.

5.3. Deploying DNNs on IoMT Edge Devices

In recent years, cloud computing and IoT techniques have grown rapidly, proving benefits in different aspects of human life. Based on a prediction, the number of IoT devices will approach 21 billion by 2025, and the market is reaching USD 112 Billion by then (IoT Analytics Research 2018; investinbsr). At the same time, these devices generate a massive amount of data that should be analyzed locally, which demands highly efficient data processing platforms and techniques. DNNs can address the process of the produced data. However, they come with extensive computation and storage requirements beyond the capability of current embedded devices to execute them within a limited power budget. As an example, VGG-16 [87] as a popular deep convolutional neural network, consists of 138 million parameters, requiring 500MB memory space, and involves 15.5 million floating-point operations per second (FLOPs) [88]. Such a requirement is beyond the capability of IoT devices.
Considering the above facts, it is highly challenging to deploy DNNs on resource-constraint embedded devices, and extensive effort is needed to enable such deployment. Among these approaches are pruning [89], quantization [90], knowledge distillation [91], parameter sharing [92], and compression [93] to reduce the computational complexity of DNNs. The impact of these approaches should be measured on the underlying device where DNNs are going to be deployed as different approaches show varying impacts on different platforms. After observing the system parameters (such as throughput, latency, and power), algorithms should be refined and tuned to improve these parameters. Figure 9 shows a schema for co-optimization of DNNs and the hardware platforms.

5.4. Algorithms and Methods to Reduce Computation and Power Consumption in Embedded Devices

There are various algorithms and methods at different levels (such as DNN modeling, computer architecture, and compiler) to improve the execution of DNNs. A popular approach is quantization [93], which reduces the precision of number representation, e.g., from 32-bit to 4-bit representation. Quantization relaxes the storage requirement and could lower the number of computations, which usually come at the cost of lower accuracy. Although quantization is an efficient approach with regard to storage, the underlying hardware should be able to skip the unnecessary zero computations as a result of reduced number representation. Thereby, quantization alone cannot be considered as an effective approach to reduce the inference time or power consumption in embedded devices.
Another efficient approach is pruning, which is mainly based on removing weights that are smaller than a threshold value. Pruning can also be applied to filters by removing those which are the least important based on the defined criteria [88]. Like quantization, pruning also usually leads to lower accuracy, while retraining helps to retain the accuracy to some extent. Weight pruning also requires hardware support, and without such support, the underlying hardware cannot skip the zero computation and thus reduce the power consumption or inference time. Filter pruning, on the other hand, skips the zero computation related to the removed filters entirely. Thereby, it would be an efficient approach to be employed in embedded devices to reduce power as well as inference time.
Dynamic batch sizing is another approach to control the power and throughput at run-time [94]. In this approach, instead of using a fixed batch size, depending on the power budget and the required throughput, batch size can be dynamically adjusted. This approach allows saving power in IoMT devices whenever necessary.

6. User-Centred Design

The market success of the e-health system is guided by the user acceptance of the technologies. Numerous reports suggested involving user requirements in the development of the IoMT. Surveys are important instruments to identify the functional and non-functional requirements of the medical health system [95,96,97,98]. This approach has been adopted in the vINCI project (Clinically-validated Integrated Support for Assistive Care and Lifestyle Improvement: the Human Link) [96,99]. The project uses the following technologies: a smartphone app, a dashboard, smartwatch, and smart insole. The users of the project are of two categories: primary end-users (seniors over 65 years old) and secondary end-users (caregivers). Two questionnaires, one for each category, have been designed to capture the user requirements. Twelve functional and five non-functional requirements have been identified. Those requirements have been considered in developing the vINCI platform.

7. Conclusions and Open Issues

The miniaturization of electronic devices coupled with the advances in internet technology and wireless communication has established a plethora of pervasive and ubiquitous applications. The Internet of Medical Things, IoMT, is a paradigm shift in the health industry that has been put in place to improve medical services, reduce the cost, increase life expectancy, and so forth. IoMT is composed of low-end, middle, and high-end nodes. Wearables are low-end nodes that are composed of multiple medical sensors, energy scavenging units, wireless communication circuitry, embedded system, storage unit, and power management unit. To increase the operating hours of wearables, several techniques can be used at the algorithm level, including all the design abstraction layers of the VLSI design, and energy harvesting techniques. Additionally, a significant power reduction can be achieved by reducing the transmission rate from wearables to the fog layer. This work systematically reviewed the approaches taken to design energy-efficient IoMT edge nodes. In particular, the following topics have been discussed: technology trends, sub-Nyquist sampling, approximate computing, multi-core technology, energy harvesting techniques, and the implementation of deep learning on edge devices. Among these topics, approximate computing for IoMT is under-researched and needs further exploration as it is a very promising technique to reduce energy consumption. Energy harvesting technologies provide promising possibilities, even if they are not frequently used. Multi-source energy harvesting can be coupled with algorithmic techniques, such as computational offloading, which is a topic that needs further investigation. At the technology level, RISC-V-based multicore architecture is a promising solution for wearables. However, the security and trustability of RISC-V need further exploration. Last but not least, the involvements of different stakeholders and end-users in determining the functional and non-functional requirements for the development of the IoMT are often overlooked and need to be accounted for before, during, and after the development of an IoMT platform.

Author Contributions

Conceptualization: All authors. Writing: I.B.D. (Introduction, Related works, Technologies, Conclusion and open issues); M.E. (Implementation: Deep-learning in IoMT Edge Devices, Conclusion and open issues); M.B.A., G.B. and O.K. (Techniques: Energy Harvesting for IoMT Devices, Conclusion and open issues). Methodology: All authors. Review and editing: I.B.D. and M.B.A. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the “Deutscher Akademischer Austauschdienst” (DAAD), within the Bismon-57477606 project.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. WHO Facts on Diabetes. Available online: https://www.who.int/health-topics/diabetes#tab=tab_1 (accessed on 24 August 2021).
  2. Sebestyen, G.; Stoica, I.; Hangan, A. Human activity recognition and monitoring for elderly people. In Proceedings of the 2016 IEEE 12th International Conference on Intelligent Computer Communication and Processing (ICCP), Cluj-Napoca, Romania, 8–10 September 2016; pp. 341–347. [Google Scholar] [CrossRef]
  3. Ali, M.; Ali, A.A.; Taha, A.E.; Dhaou, I.B.; Gia, T.N. Intelligent Autonomous Elderly Patient Home Monitoring System. In Proceedings of the ICC 2019—2019 IEEE International Conference on Communications (ICC), Shanghai, China, 20–24 May 2019; pp. 1–6. [Google Scholar] [CrossRef]
  4. Secrest, A.M.; Becker, D.J.; Kelsey, S.F.; LaPorte, R.E.; Orchard, T.J. Characterising Sudden Death and Dead-in-Bed Syndrome in Type 1 Diabetes: Analysis from 2 Childhood-Onset Type 1 Diabetes Registries. Diabet. Med. 2017, 28, 293–300. [Google Scholar]
  5. Gia, T.N.; Ali, M.; Dhaou, I.B.; Rahmani, A.M.; Westerlund, T.; Liljeberg, P.; Tenhunen, H. IoT-based continuous glucose monitoring system: A feasibility study. Procedia Comput. Sci. 2017, 109, 327–334. [Google Scholar] [CrossRef]
  6. Gatouillat, A.; Badr, Y.; Massot, B.; Sejdić, E. Internet of Medical Things: A Review of Recent Contributions Dealing With Cyber-Physical Systems in Medicine. IEEE Internet Things J. 2018, 5, 3810–3822. [Google Scholar] [CrossRef] [Green Version]
  7. Mukhopadhyay, S.C. Wearable Sensors for Human Activity Monitoring: A Review. IEEE Sens. J. 2015, 15, 1321–1330. [Google Scholar] [CrossRef]
  8. Liang, T.; Yuan, Y.J. Wearable Medical Monitoring Systems Based on Wireless Networks: A Review. IEEE Sens. J. 2016, 16, 8186–8199. [Google Scholar] [CrossRef]
  9. Rezaei, F.; Hempel, M.; Sharif, H. A survey of recent trends in wireless communication standards, routing protocols, and energy harvesting techniques in E-health applications. Int. J. E-Health Med. Commun. (IJEHMC) 2015, 6, 1–21. [Google Scholar] [CrossRef]
  10. Ghamari, M.; Janko, B.; Sherratt, R.S.; Harwin, W.; Piechockic, R.; Soltanpur, C. A Survey on Wireless Body Area Networks for eHealthcare Systems in Residential Environments. Sensors 2016, 16, 831. [Google Scholar] [CrossRef] [Green Version]
  11. Qureshi, F.; Krishnan, S. Wearable Hardware Design for the Internet of Medical Things (IoMT). Sensors 2018, 18, 3812. [Google Scholar] [CrossRef] [Green Version]
  12. Sun, L.; Jiang, X.; Ren, H.; Guo, Y. Edge-Cloud Computing and Artificial Intelligence in Internet of Medical Things: Architecture, Technology and Application. IEEE Access 2020, 8, 101079–101092. [Google Scholar] [CrossRef]
  13. Greco, L.; Percannella, G.; Ritrovato, P.; Tortorella, F.; Vento, M. Trends in IoT based solutions for health care: Moving AI to the edge. Pattern Recognit. Lett. 2020, 135, 346–353. [Google Scholar] [CrossRef]
  14. Pradhan, B.; Bhattacharyya, S.; Pal, K. IoT-Based Applications in Healthcare Devices. J. Healthc. Eng. 2021, 2021. [Google Scholar] [CrossRef]
  15. Amin, S.U.; Hossain, M.S. Edge Intelligence and Internet of Things in Healthcare: A Survey. IEEE Access 2021, 9, 45–59. [Google Scholar] [CrossRef]
  16. ITRS. International Technology Roadmap for Semiconductors 2013. Available online: http://www.itrs2.net/2013-itrs.html (accessed on 29 January 2016).
  17. Frank, D.J.; Dennard, R.H.; Nowak, E.; Solomon, P.M.; Taur, Y.; Wong, H.S.P. Device scaling limits of Si MOSFETs and their application dependencies. Proc. IEEE 2001, 89, 259–288. [Google Scholar] [CrossRef]
  18. Yu, E.; Chang, L.; Ahmed, S.; Wang, H.; Bell, S.; Yang, C.Y.; Tabery, C.; Ho, C.; Xiang, Q.; King, T.J.; et al. FinFET scaling to 10 nm gate length. In Proceedings of the 2002 Electron Devices Meeting, IEDM’02, San Francisco, CA, USA, 8–11 December 2002; pp. 251–254. [Google Scholar]
  19. Kao, J.; Narendra, S.; Chandrakasan, A. Subthreshold leakage modeling and reduction techniques. In Proceedings of the 2002 IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, 10–14 November 2002; pp. 141–148. [Google Scholar]
  20. Shafaei Bejestan, A.; Wang, Y.; Ramadurgam, S.; Xue, Y.; Bogdan, P.; Pedram, M. Analyzing the Dark Silicon Phenomenon in a Many-Core Chip Multi-Processor under Deeply-Scaled Process Technologies. In Proceedings of the 25th Edition on Great Lakes Symposium on VLSI, GLSVLSI ’15, Pittsburgh, PA, USA, 20–22 May 2015; Association for Computing Machinery: New York, NY, USA, 2015; pp. 127–132. [Google Scholar] [CrossRef]
  21. Dhaou, I.B.; Tenhunen, H. Design Techniques of 5G Mobile Devices in the Dark Silicon Era. In 5G Mobile Communications; Xiang, W., Zheng, K., Shen, X.S., Eds.; Springer International Publishing: Cham, Switzerland, 2017; pp. 381–400. [Google Scholar] [CrossRef]
  22. King, T.J. FinFETs for nanoscale CMOS digital integrated circuits. In Proceedings of the 2005 IEEE/ACM International Conference on Computer-Aided Design, San Jose, CA, USA, 6–10 November 2005; pp. 207–210. [Google Scholar]
  23. Ojo, M.O.; Giordano, S.; Procissi, G.; Seitanidis, I.N. A Review of Low-End, Middle-End, and High-End Iot Devices. IEEE Access 2018, 6, 70528–70554. [Google Scholar] [CrossRef]
  24. Behmanesh, A.; Sayfouri, N.; Sadoughi, F. Technological Features of Internet of Things in Medicine: A Systematic Mapping Study. Wirel. Commun. Mob. Comput. 2020, 2020, 9238614. [Google Scholar] [CrossRef]
  25. Wan, J.; Al-awlaqi, M.; Li, M.; OGrady, M.; Gu, X.; Wang, J.; Cao, N. Wearable IoT enabled real-time health monitoring system. EURASIP J. Wirel. Commun. Netw. 2018, 2018, 298. [Google Scholar] [CrossRef]
  26. Gautschi, M.; Schiavone, P.D.; Traber, A.; Loi, I.; Pullini, A.; Rossi, D.; Flamand, E.; Gürkaynak, F.K.; Benini, L. Near-Threshold RISC-V Core With DSP Extensions for Scalable IoT Endpoint Devices. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 2700–2713. [Google Scholar] [CrossRef] [Green Version]
  27. Eggimann, M.; Mach, S.; Magno, M.; Benini, L. A RISC-V Based Open Hardware Platform for Always-On Wearable Smart Sensing. In Proceedings of the 2019 IEEE 8th International Workshop on Advances in Sensors and Interfaces (IWASI), Gallipoli, Italy, 18–19 June 2019; pp. 169–174. [Google Scholar]
  28. Candes, E.J.; Wakin, M.B. An Introduction To Compressive Sampling. IEEE Signal Process. Mag. 2008, 25, 21–30. [Google Scholar] [CrossRef]
  29. Foucart, S.; Rauhut, H. A Mathematical Introduction to Compressive Sensing, 1st ed.; Springer: Berlin/Heidelberg, Germany, 2013. [Google Scholar]
  30. Mamaghanian, H.; Khaled, N.; Atienza, D.; Vandergheynst, P. Compressed Sensing for Real-Time Energy-Efficient ECG Compression on Wireless Body Sensor Nodes. IEEE Trans. Biomed. Eng. 2011, 58, 2456–2466. [Google Scholar] [CrossRef] [Green Version]
  31. Djelouat, H.; Al Disi, M.; Boukhenoufa, I.; Amira, A.; Bensaali, F.; Kotronis, C.; Politi, E.; Nikolaidou, M.; Dimitrakopoulos, G. Real-time ECG monitoring using compressive sensing on a heterogeneous multicore edge-device. Microprocess. Microsyst. 2020, 72, 102839. [Google Scholar] [CrossRef]
  32. Xu, Q.; Mytkowicz, T.; Kim, N.S. Approximate Computing: A Survey. IEEE Des. Test 2016, 33, 8–22. [Google Scholar] [CrossRef]
  33. Dhaou, I.B. Hardware architecture for an anti-traffic noise system. Microelectron. J. 2015, 46, 370–376. [Google Scholar] [CrossRef]
  34. Zervakis, G.; Tsoumanis, K.; Xydis, S.; Soudris, D.; Pekmestzi, K. Design-Efficient Approximate Multiplication Circuits Through Partial Product Perforation. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2016, 24, 3105–3117. [Google Scholar] [CrossRef] [Green Version]
  35. Gupta, V.; Mohapatra, D.; Raghunathan, A.; Roy, K. Low-Power Digital Signal Processing Using Approximate Adders. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2013, 32, 124–137. [Google Scholar] [CrossRef]
  36. Jiang, H.; Santiago, F.J.H.; Mo, H.; Liu, L.; Han, J. Approximate Arithmetic Circuits: A Survey, Characterization, and Recent Applications. Proc. IEEE 2020, 108, 2108–2135. [Google Scholar] [CrossRef]
  37. Osta, M.; Ibrahim, A.; Chible, H.; Valle, M. Inexact Arithmetic Circuits for Energy Efficient IoT Sensors Data Processing. In Proceedings of the 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 27–30 May 2018; pp. 1–4. [Google Scholar] [CrossRef]
  38. Prabakaran, B.S.; Rehman, S.; Shafique, M. XBioSiP: A Methodology for Approximate Bio-Signal Processing at the Edge. In Proceedings of the 56th Annual Design Automation Conference 2019, DAC ’19, Las Vegas, NV, USA, 2–6 June 2019; Association for Computing Machinery: New York, NY, USA, 2019. [Google Scholar]
  39. Chen, Y.; Yang, X.; Qiao, F.; Han, J.; Wei, Q.; Yang, H. A Multi-accuracy-Level Approximate Memory Architecture Based on Data Significance Analysis. In Proceedings of the 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Pittsburgh, PA, USA, 11–13 July 2016; pp. 385–390. [Google Scholar] [CrossRef]
  40. Nguyen, D.T.; Hung, N.H.; Kim, H.; Lee, H.J. An Approximate Memory Architecture for Energy Saving in Deep Learning Applications. IEEE Trans. Circuits Syst. I Regul. Pap. 2020, 67, 1588–1601. [Google Scholar] [CrossRef]
  41. Ranjan, A.; Raha, A.; Raghunathan, V.; Raghunathan, A. Approximate memory compression for energy-efficiency. In Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Taipei, China, 24–26 July 2017; pp. 1–6. [Google Scholar] [CrossRef]
  42. Chong, Y.W.; Ismail, W.; Ko, K.; Lee, C.Y. Energy Harvesting For Wearable Devices: A Review. IEEE Sens. J. 2019, 19, 9047–9062. [Google Scholar] [CrossRef]
  43. Khalid, S.; Raouf, I.; Khan, A.; Kim, N.; Kim, H.S. A Review of Human-Powered Energy Harvesting for Smart Electronics: Recent Progress and Challenges. Int. J. Precis. Eng. Manuf.-Green Technol. 2019, 6, 821–851. [Google Scholar] [CrossRef]
  44. Maxim Integrated. Analog, Linear, and Mixed-Signal Devices. Available online: https://www.maximintegrated.com/en.html (accessed on 14 October 2019).
  45. ROHM Semiconductor—ROHM Co., Ltd. Available online: https://www.rohm.com/ (accessed on 14 October 2019).
  46. Xiao, Z.; Tan, X.; Chen, X.; Chen, S.; Zhang, Z.; Zhang, H.; Wang, J.; Huang, Y.; Zhang, P.; Zheng, L.; et al. An Implantable RFID Sensor Tag toward Continuous Glucose Monitoring. IEEE J. Biomed. Health Inform. 2015, 19, 910–919. [Google Scholar] [CrossRef] [PubMed]
  47. Salo, T.; Kirstein, K.U.; Sedivy, J.; Grunenfelder, J.; Vancura, T.; Zund, G.; Baltes, H. Continuous blood pressure monitoring utilizing a CMOS tactile sensor. In Proceedings of the The 26th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Montréal, QC, Canada, 20–24 July 2004; Volume 1, pp. 23226–23229. [Google Scholar] [CrossRef]
  48. Sho, A.; Masanori, M.; Takahiro, N.; Yoshiyuki, H.; Yutaka, N.; Shuji, T. 3-Axis Fully-Integrated Capacitive Tactile Sensor with Flip-Bonded CMOS on LTCC Interposer. Sensors 2017, 17, 2451. [Google Scholar]
  49. Ben Ammar, M.; Sahnoun, S.; Fakhfakh, A.; Kanoun, O. Design of a DC-DC Boost Converter of Hybrid Energy Harvester for Low-Power Biomedical Applications. In Proceedings of the 2020 17th International Multi-Conference on Systems, Signals & Devices (SSD), Systems, Signals & Devices (SSD), Sfax, Tunisia, 20–23 July 2020; pp. 955–959. [Google Scholar]
  50. Chalasani, S.; Conrad, J.M. A survey of energy harvesting sources for embedded systems. In Proceedings of the IEEE SoutheastCon 2008, Huntsville, AL, USA, 3–6 April 2008; pp. 442–447. [Google Scholar] [CrossRef]
  51. Ghomian, T.; Mehraeen, S. Survey of energy scavenging for wearable and implantable devices. Energy 2019, 178, 33–49. [Google Scholar] [CrossRef]
  52. Kanoun, O.; Bradai, S.; Khriji, S.; Bouattour, G.; El Houssaini, D.; Ben Ammar, M.; Naifar, S.; Bouhamed, A.; Derbel, F.; Viehweger, C. Energy-Aware System Design for Autonomous Wireless Sensor Nodes: A Comprehensive Review. Sensors 2021, 21, 548. [Google Scholar] [CrossRef]
  53. Ali, F.; Raza, W.; Li, X.; Gul, H.; Kim, K.H. Piezoelectric energy harvesters for biomedical applications. Nano Energy 2019, 57, 879–902. [Google Scholar] [CrossRef]
  54. Zou, Y.; Raveendran, V.; Chen, J. Wearable triboelectric nanogenerators for biomechanical energy harvesting. Nano Energy 2020, 77, 105303. [Google Scholar] [CrossRef]
  55. Bradai, S.; Naifar, S.; Trigona, C.; Baglio, S.; Kanoun, O. Electromagnetic transducer with bistable-RMSHI for energy harvesting from very weak kinetic sources. In Proceedings of the 2018 IEEE International Instrumentation and Measurement Technology Conference (I2MTC), Houston, TA, USA, 14–17 May 2018; pp. 1–5. [Google Scholar] [CrossRef]
  56. Lagomarsini, C.; Lombardi, G.; Sylvestre, A.; Jean-Mistral, C. Hybrid piezoelectric-electrostatic generators for wearable energy harvesting applications. Smart Mater. Struct. 2019, 28, 035003. [Google Scholar] [CrossRef]
  57. Liu, Y.; Khanbareh, H.; Halim, M.A.; Feeney, A.; Zhang, X.; Heidari, H.; Ghannam, R. Piezoelectric energy harvesting for self-powered wearable upper limb applications. Nano Select 2021, 2, 1459–1479. [Google Scholar] [CrossRef]
  58. Meier, R.; Kelly, N.; Almog, O.; Chiang, P. A piezoelectric energy-harvesting shoe system for podiatric sensing. In Proceedings of the 2014 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Chicago, IL, USA, 26–30 August 2014; pp. 622–625. [Google Scholar]
  59. Xin, Y.; Li, X.; Tian, H.; Guo, C.; Qian, C.; Wang, S.; Wang, C. Shoes-equipped piezoelectric transducer for energy harvesting: A brief review. Ferroelectrics 2016, 493, 12–24. [Google Scholar] [CrossRef]
  60. Moro, L.; Benasciutti, D. Harvested power and sensitivity analysis of vibrating shoe-mounted piezoelectric cantilevers. Smart Mater. Struct. 2010, 19, 115011. [Google Scholar] [CrossRef]
  61. Halim, M.A.; Cho, H.; Park, J.Y. Design and experiment of a human-limb driven, frequency up-converted electromagnetic energy harvester. Energy Convers. Manag. 2015, 106, 393–404. [Google Scholar] [CrossRef]
  62. Shi, Q.; Sun, Z.; Zhang, Z.; Lee, C. Triboelectric Nanogenerators and Hybridized Systems for Enabling Next-Generation IoT Applications. Research 2021, 2021, 6849171. [Google Scholar] [CrossRef]
  63. Bouattour, G.; Kallel, B.; Sasmal, K.; Kanoun, O.; Derbel, N. Comparative study of resonant circuit for power transmission via inductive link. In Proceedings of the 2015 IEEE 12th International Multi-Conference on Systems, Signals Devices (SSD15), Monastir, Tunisia, 22–25 March 2015; pp. 1–6. [Google Scholar] [CrossRef]
  64. Bouattour, G.; Kallel, B.; Kanoun, O.; Derbel, N. Primary side circuit design of a multi-coil inductive system for powering wireless sensors. Procedia Eng. 2016, 168, 920–923. [Google Scholar] [CrossRef]
  65. Adawy, A.; Bouattour, G.; Ibbini, M.; Derbel, N.; Kanoun, O. Sliding Mode Control of an Inductive Power Transmission System with Maximum Efficiency. In Proceedings of the 2021 18th International Multi-Conference on Systems, Signals Devices (SSD), Monastir, Tunisia, 22–25 March 2021; pp. 998–1003. [Google Scholar] [CrossRef]
  66. Kanoun, O.; Keutel, T.; Viehweger, C.; Zhao, X.; Bradai, S.; Naifar, S.; Trigona, C.; Kallel, B.; Chaour, I.; Bouattour, G.; et al. Next Generation Wireless Energy Aware Sensors for Internet of Things: A Review. In Proceedings of the 2018 15th International Multi-Conference on Systems, Signals Devices (SSD), Yasmine Hammamet, Tunisia, 19–22 March 2018; pp. 1–6. [Google Scholar] [CrossRef]
  67. Ben Fadhel, Y.; Bouattour, G.; Bouchaala, D.; Rahmani, S.; Kanoun, O.; Derbel, N. An optimized wearable coil for Wireless Power Transfer Applications. In Proceedings of the 2020 17th International Multi-Conference on Systems, Signals Devices (SSD), Sfax, Tunisia, 20–23 July 2020; pp. 151–155. [Google Scholar] [CrossRef]
  68. Bouattour, G.; Chen, X.; Ben Jmeaa Derbel, H.; Kanoun, O. Investigation on Flexible Coils Geometries for Inductive Power Transmission Systems. In Proceedings of the 2019 5th International Conference on Nanotechnology for Instrumentation and Measurement (NanofIM), Sfax, Tunisia, 30–31 October 2019; pp. 1–7. [Google Scholar] [CrossRef]
  69. Abdullahi, Q.S.; Joshi, R.; Podilchak, S.K.; Khan, S.R.; Chen, M.; Rooney, J.; Rooney, J.; Sun, D.; Desmulliez, M.P.; Georgiadis, A.; et al. Design of a wireless power transfer system for assisted living applications. Wirel. Power Transf. 2019, 6, 41–56. [Google Scholar] [CrossRef] [Green Version]
  70. Teng, L.; Zhu, L.; Handschuh-Wang, S.; Zhou, X. Robust, multiscale liquid-metal patterning enabled by a sacrificial sealing layer for flexible and wearable wireless powering. J. Mater. Chem. C 2019, 7, 15243–15251. [Google Scholar] [CrossRef]
  71. Jeong, S.; Kim, D.H.; Song, J.; Kim, H.; Lee, S.; Song, C.; Lee, J.; Song, J.; Kim, J. Smartwatch Strap Wireless Power Transfer System With Flexible PCB Coil and Shielding Material. IEEE Trans. Ind. Electron. 2019, 66, 4054–4064. [Google Scholar] [CrossRef]
  72. Zhu, D.; Grabham, N.J.; Clare, L.; Stark, B.H.; Beeby, S.P. Inductive power transfer in e-textile applications: Reducing the effects of coil misalignment. In Proceedings of the 2015 IEEE Wireless Power Transfer Conference (WPTC), Boulder, CO, USA, 13–15 May 2015; pp. 1–4. [Google Scholar] [CrossRef]
  73. Wagih, M.; Komolafe, A.; Zaghari, B. Dual-Receiver Wearable 6.78 MHz Resonant Inductive Wireless Power Transfer Glove Using Embroidered Textile Coils. IEEE Access 2020, 8, 24630–24642. [Google Scholar] [CrossRef]
  74. Sun, D.; Chen, M.; Podilchak, S.; Georgiadis, A.; Abdullahi, Q.S.; Joshi, R.; Yasin, S.; Rooney, J.; Rooney, J. Investigating flexible textile-based coils for wireless charging wearable electronics. J. Ind. Text. 2020, 50, 333–345. [Google Scholar] [CrossRef]
  75. Bouattour, G.; Mhammedi, T.; Yaakoubi, N.; Adawi, A.; Kanoun, O. Investigation of the Controllability of Inductive Power Transmission Systems based on Flexible Coils. In Proceedings of the 2021 18th International Multi-Conference on Systems, Signals Devices (SSD), Monastir, Tunisia, 22–25 March 2021; pp. 216–221. [Google Scholar] [CrossRef]
  76. Zhou, M.; Al-Furjan, M.S.H.; Zou, J.; Liu, W. A review on heat and mechanical energy harvesting from human—Principles, prototypes and perspectives. Renew. Sustain. Energy Rev. 2018, 82, 3582–3609. [Google Scholar] [CrossRef]
  77. von Buren, T.; Mitcheson, P.; Green, T.; Yeatman, E.; Holmes, A.; Troster, G. Optimization of inertial micropower Generators for human walking motion. IEEE Sens. J. 2006, 6, 28–38. [Google Scholar] [CrossRef]
  78. Yuan, J.; Zhu, R. Self-Powered Wearable Multi-Sensing Bracelet with Flexible Thermoelectric Power Generator. In Proceedings of the 2019 20th International Conference on Solid-State Sensors, Actuators and Microsystems Eurosensors XXXIII (Transducers Eurosensors XXXIII), Berlin, Germany, 23–27 June 2019; pp. 1431–1434. [Google Scholar]
  79. Nozariasbmarz, A.; Collins, H.; Dsouza, K.; Polash, M.H.; Hosseini, M.; Hyland, M.; Liu, J.; Malhotra, A.; Ortiz, F.M.; Mohaddes, F.; et al. Review of wearable thermoelectric energy harvesting: From body temperature to electronic systems. Appl. Energy 2020, 258, 114069. [Google Scholar] [CrossRef]
  80. Zurbuchen, A.; Pfenniger, A.; Stahel, A.; Stoeck, C.T.; Vandenberghe, S.; Koch, V.M.; Vogel, R. Energy harvesting from the beating heart by a mass imbalance oscillation generator. Ann. Biomed. Eng. 2013, 41, 131–141. [Google Scholar] [CrossRef] [Green Version]
  81. Magno, M.; Boyle, D. Wearable Energy Harvesting: From body to battery. In Proceedings of the 2017 12th International Conference on Design Technology of Integrated Systems In Nanoscale Era (DTIS), Palma de Mallorca, Spain, 4–6 April 2017; pp. 1–6. [Google Scholar]
  82. Bhatia, D.; Jo, S.H.; Ryu, Y.; Kim, Y.; Kim, D.H.; Park, H.S. Wearable triboelectric nanogenerator based exercise system for upper limb rehabilitation post neurological injuries. Nano Energy 2021, 80, 105508. [Google Scholar] [CrossRef]
  83. Alom, M.Z.; Taha, T.M.; Yakopcic, C.; Westberg, S.; Sidike, P.; Nasrin, M.S.; Van Esesn, B.C.; Awwal, A.A.S.; Asari, V.K. The history began from alexnet: A comprehensive survey on deep learning approaches. arXiv 2018, arXiv:1803.01164. [Google Scholar]
  84. Hatcher, W.G.; Yu, W. A Survey of Deep Learning: Platforms, Applications and Emerging Research Trends. IEEE Access 2018, 6, 24411–24432. [Google Scholar] [CrossRef]
  85. Latotzke, C.; Gemmeke, T. Efficiency Versus Accuracy: A Review of Design Techniques for DNN Hardware Accelerators. IEEE Access 2021, 9, 9785–9799. [Google Scholar] [CrossRef]
  86. Chen, K.C.; Ebrahimi, M.; Wang, T.Y.; Yang, Y.C. NoC-Based DNN Accelerator: A Future Design Paradigm. In Proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip, NOCS ’19, New York, NY, USA, 17–18 October 2019; pp. 1–8. [Google Scholar]
  87. Simonyan, K.; Zisserman, A. Very Deep Convolutional Networks for Large-Scale Image Recognition. arXiv 2015, arXiv:1409.1556. [Google Scholar]
  88. Li, H.; Kadav, A.; Durdanovic, I.; Samet, H.; Graf, H.P. Pruning Filters for Efficient ConvNets. arXiv 2017, arXiv:1608.08710. [Google Scholar]
  89. He, Y.; Zhang, X.; Sun, J. Channel Pruning for Accelerating Very Deep Neural Networks. In Proceedings of the 2017 IEEE International Conference on Computer Vision (ICCV), Venice, Italy, 22–29 October 2017; pp. 1398–1406. [Google Scholar] [CrossRef] [Green Version]
  90. Wu, J.; Leng, C.; Wang, Y.; Hu, Q.; Cheng, J. Quantized Convolutional Neural Networks for Mobile Devices. arXiv 2016, arXiv:1512.06473. [Google Scholar]
  91. Hinton, G.; Vinyals, O.; Dean, J. Distilling the Knowledge in a Neural Network. arXiv 2015, arXiv:1503.02531. [Google Scholar]
  92. Chen, W.; Wilson, J.T.; Tyree, S.; Weinberger, K.Q.; Chen, Y. Compressing Neural Networks with the Hashing Trick. arXiv 2015, arXiv:1504.04788. [Google Scholar]
  93. Hubara, I.; Courbariaux, M.; Soudry, D.; El-Yaniv, R.; Bengio, Y. Quantized Neural Networks: Training Neural Networks with Low Precision Weights and Activations. J. Mach. Learn. Res. 2017, 18, 6869–6898. [Google Scholar]
  94. Nabavinejad, S.M.; Reda, S.; Ebrahimi, M. BatchSizer: Power-Performance Trade-off for DNN Inference. In Proceedings of the 26th Asia and South Pacific Design Automation Conference, ASPDAC ’21, Tokyo, Japan, 18–21 January 2021; Association for Computing Machinery: New York, NY, USA, 2021; pp. 819–824. [Google Scholar]
  95. Wilkowska, W.; Ziefle, M. Privacy and data security in E-health: Requirements from the user’s perspective. Health Inform. J. 2012, 18, 191–201. [Google Scholar] [CrossRef] [PubMed]
  96. Bajenaru, L.; Marinescu, I.A.; Dobre, C.; Prada, G.I.; Constantinou, C.S. Towards the development of a personalized healthcare solution for elderly: From user needs to system specifications. In Proceedings of the 2020 12th International Conference on Electronics, Computers and Artificial Intelligence (ECAI), Bucharest, Romania, 25 July 2020; pp. 1–6. [Google Scholar] [CrossRef]
  97. Pal, D.; Funilkul, S.; Charoenkitkarn, N.; Kanthamanon, P. Internet-of-Things and Smart Homes for Elderly Healthcare: An End User Perspective. IEEE Access 2018, 6, 10483–10496. [Google Scholar] [CrossRef]
  98. Calvillo-Arbizu, J.; Roa-Romero, L.M.; Estudillo-Valderrama, M.A.; Salgueira-Lazo, M.; Aresté-Fosalba, N.; del Castillo-Rodríguez, N.L.; González-Cabrera, F.; Marrero-Robayna, S.; de-la Manzana, V.L.; Román-Martínez, I. User-centred design for developing e-Health system for renal patients at home (AppNephro). Int. J. Med. Inform. 2019, 125, 47–54. [Google Scholar] [CrossRef] [PubMed]
  99. Clinically-Validated Integrated Support for Assistive Care and Lifestyle Improvement: The Human Link. Available online: https://https://vinci.ici.ro/ (accessed on 16 August 2021).
Figure 1. The three pillars for an e-health system proposed by the WHO.
Figure 1. The three pillars for an e-health system proposed by the WHO.
Electronics 10 02104 g001
Figure 2. e/m-health system using IoT and wearable technologies.
Figure 2. e/m-health system using IoT and wearable technologies.
Electronics 10 02104 g002
Figure 3. Fog-based e-health system.
Figure 3. Fog-based e-health system.
Electronics 10 02104 g003
Figure 4. The PULP cluster with four cores in [26].
Figure 4. The PULP cluster with four cores in [26].
Electronics 10 02104 g004
Figure 5. Approximate adders used in [38]. (a) Full-adder, (b) the carry-out and the sum are complemented, (c) the input A is copied to the carry-out, (d) both sum and carry-out are approximated, (e) the input A is copied to sum and B is copied to carry-out.
Figure 5. Approximate adders used in [38]. (a) Full-adder, (b) the carry-out and the sum are complemented, (c) the input A is copied to the carry-out, (d) both sum and carry-out are approximated, (e) the input A is copied to sum and B is copied to carry-out.
Electronics 10 02104 g005
Figure 6. Energy harvesting for autonomous wearable devices.
Figure 6. Energy harvesting for autonomous wearable devices.
Electronics 10 02104 g006
Figure 7. Flexible coil misalignment (a) ideal, (b) bended transmitter coil, (c) bending of both coils, (d) bended receiver coil, and (e) coil stretching.
Figure 7. Flexible coil misalignment (a) ideal, (b) bended transmitter coil, (c) bending of both coils, (d) bended receiver coil, and (e) coil stretching.
Electronics 10 02104 g007
Figure 8. An example of Deep Neural Networks with input, output, and hidden layers.
Figure 8. An example of Deep Neural Networks with input, output, and hidden layers.
Electronics 10 02104 g008
Figure 9. Co-optimizing DNNs and their underlying hardware platforms.
Figure 9. Co-optimizing DNNs and their underlying hardware platforms.
Electronics 10 02104 g009
Table 1. List of abbreviations.
Table 1. List of abbreviations.
AbbreviationComplete Name
WHOWorld Health Organization
ECGElectrocardiogram
BANBody Area Network
IoMTInternet of Medical Things
IoTInternet of Things
HIoTHealth Internet of Things
DNNDeep Neural Networks
NFCNear Field Communication
UWBUltra-Wide Band
WANWide Area Network
LANLocal Area Network
PPGPhotoplethysmogram
EMGElectromyography
IMUInertial Measurement Unit
RFIDRadio Frequency Identification
CMOSComplementary Metal Oxide Semiconductor
ITRSInternational Technology Roadmap for Semiconductors
FinFETFin Field Effect Transistor
RISCReduced Instruction Set Computer
MOPSMillion Operations Per Second
CSCompressive Sensing
PRDPercentage Root-mean square Difference
ADCAnalog to Digital Converter
LSBLeast Significant Bit
MSBMost Significant Bit
SSIMStructure Similarity Index Measure
DRAMDynamic Random Access Memory
PEHPiezoelectric Energy Harvester
TENGThermoelectric Nanogenerator
TEGThermoelectric Generator
IPTInductive Power Transfer
WSNWireless Sensor Network
MACMultiply-And-Accumulate
CNNConvolution Neural Networks
DBNDeep Belief Network
RNNRecurrent Neural Networks
CPUCentral Processing Unit
FPGAField Programmable Gate Array
ASICApplication Specific Integrated Circuit
MPSoCsMany-core systems-on-chip
Table 2. List of parameters with their typical value.
Table 2. List of parameters with their typical value.
ParameterExplanationTypical Value/Value Range
Sscaling factor1.44
x1-D biomedical signalNA
NSignal Dimension512 for ECG signal
PRDPercentage Root-mean square Difference≤10%
δ L Isometry constant0.25
δ an arbitrary small and positive numberNA
RIPrestricted isometry propertyNA
Table 3. Comparison between state-of-the-art survey papers.
Table 3. Comparison between state-of-the-art survey papers.
Survey WorkHardware TechnologyLow-Power VLSI DesignEnergy HarvestingUser Requirements
[8,10,12,13,14,15]----
[7,9]---
[11]---
This work
Table 4. Market survey of the cortex-M3 processor in the IoT domain.
Table 4. Market survey of the cortex-M3 processor in the IoT domain.
ProcessorManufacturerKey Applications
LPC1800 SeriesNXPbuilding automation,
IoT gateways
Industrial IoT (IIoT),
smart-grid,
e-health
CC2650TIHome automation,
proximity tags,
tracking,
smart metering,
e-health,
retail
ST32-MSTMicroelectronicsHVAC, IIoT, e-health,
m2m, VANET
SmartFusion2
SoC FPGA Family
MicrosemiIIoT, e-health,
IoT gateway
Atmel SAM3AtmelIIoT and smart-grid
Table 5. Reconstruction algorithms.
Table 5. Reconstruction algorithms.
1 -minimizationBasis pursuit
Quadratically constrained basis pursuit
Greedy methodsOrthogonal matching pursuit
Compressive sampling matching pursuit
Thresholding methodsBasic thresholding
Iterative hard thresholding
Hard thresholding pursuit
Table 6. Energy consumption of typical wearable devices.
Table 6. Energy consumption of typical wearable devices.
Wearable DeviceVoltage Rate in VPower ConsumptionReference
Heart rate monitors
MAX30102 pulse oximetry1.8–3.3<1 mW[44]
BH1790GLC optical heart rate sensor1.7–3.6720 µW[45]
Blood glucose monitoring system
IoT-based glucose monitoring device2.01mW[5]
Implantable RFID transducer for continuous glucose monitoring1.0–1.250 mu W[46]
Blood pressure sensors
CMOS tactile sensor511.5 mW[47]
3-Axis Fully Integrated Capacitive Tactile Sensor1.8–3.31.2–4.6 mW[48]
Table 7. Energy sources for supplying wearable devices (literature survey).
Table 7. Energy sources for supplying wearable devices (literature survey).
Energy SourcesPower DensityAdvantagesDisadvantages
Ambient light100 mW/cm 2 (direct sun)High power density•  Intermittent
100 µW/cm 2 (indoor) •  Determined by
weather/lighting conditions
Thermoelectric40 µW/cm 2 Widely available•  Limited power density
Radio frequency1 µW/cm 2 (ambient)Widely available•  Power output depends on distance between
harvester and RF source
Vibration300 mW/cm 3 High power density•  Dependent on the vibration source properties
(electrostatic–triboelectric conversion) •  Rectifying the interface is needed
Human200 µW/cm 3 Light weight•   Power output dependent on activity
(biomechanical piezoelectric)
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Ben Dhaou, I.; Ebrahimi, M.; Ben Ammar, M.; Bouattour, G.; Kanoun, O. Edge Devices for Internet of Medical Things: Technologies, Techniques, and Implementation. Electronics 2021, 10, 2104. https://doi.org/10.3390/electronics10172104

AMA Style

Ben Dhaou I, Ebrahimi M, Ben Ammar M, Bouattour G, Kanoun O. Edge Devices for Internet of Medical Things: Technologies, Techniques, and Implementation. Electronics. 2021; 10(17):2104. https://doi.org/10.3390/electronics10172104

Chicago/Turabian Style

Ben Dhaou, Imed, Mousameh Ebrahimi, Meriam Ben Ammar, Ghada Bouattour, and Olfa Kanoun. 2021. "Edge Devices for Internet of Medical Things: Technologies, Techniques, and Implementation" Electronics 10, no. 17: 2104. https://doi.org/10.3390/electronics10172104

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop