Next Article in Journal
Dependence of Irradiated High-Power Electromagnetic Waves on the Failure Threshold Time of Semiconductors Using a Closed Waveguide
Previous Article in Journal
The Method of Static Semantic Map Construction Based on Instance Segmentation and Dynamic Point Elimination
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Low-Power Multiplexer Structures Targeting Efficient QCA Nanotechnology Circuit Designs

1
Department of Electrical Engineering, College of Enginnering, Jouf University, Sakaka 72388, Saudi Arabia
2
Department of Electrical and Computer Engineering, Wayne State University, Detroit, MI 48202, USA
*
Authors to whom correspondence should be addressed.
Electronics 2021, 10(16), 1885; https://doi.org/10.3390/electronics10161885
Submission received: 13 July 2021 / Revised: 1 August 2021 / Accepted: 3 August 2021 / Published: 6 August 2021
(This article belongs to the Section Quantum Electronics)

Abstract

:
Quantum-dot cellular automata (QCA) technology is considered to be a possible alternative for circuit implementation in terms of energy efficiency, integration density and switching frequency. Multiplexer (MUX) can be considered to be a suitable candidate for designing QCA circuits. In this paper, two different structures of energy-efficient 2 × 1 MUX designs are proposed. These MUXes outperform the best existing design in terms of power consumption with approximate reductions of 26% and 35%. Moreover, similar or better performance factors such as area and latency are achieved compared to the available designs. These MUX structures can be used as fundamental energy-efficient building blocks for replacing the majority-based structures in QCA. The scalability property of the proposed MUXes is excellent and can be used for energy-efficient complex QCA circuit designs.

1. Introduction

Designing low-power circuits in nanoscale has been a promising area of research among scientists for a long time. As complementary metal-oxide semiconductor (CMOS) technology reaches its physical limitation [1], several nanotechnologies have been considered to be possible alternatives for CMOS to implement binary and multiple-valued logic circuits [2,3,4,5,6]. One of these nanotechnologies that is expected to provide the implementation of low-power, high-density, and high-speed integrated digital circuits is quantum-dot cellular automata (QCA) [7,8,9,10,11,12]. In the literature, many studies have been conducted on developing different QCA designs for various fundamental digital circuits such as Multiplexer (MUX) [13,14,15,16,17,18,19,20,21,22], XOR/XNOR [23], arithmetic circuits [24,25,26,27,28], memory [18], reversible gate [29], etc. If we investigate the development of QCA architectures, the designers used majority logic gates as a fundamental building block for the design of digital circuits. Recently, several studies have been conducted on developing other QCA structures as alternatives for the majority logic gate for specific functions such as MUX, XOR, XNOR, Reversible gates, Flip Flops, etc.
The Shannon Expansion Theorem is a powerful tool for expanding any Boolean function [30]. MUX is one of the basic building blocks that uses this theorem hierarchically for designing large-scale integrated circuits. There are different QCA MUX designs have been developed based on different priorities. The different aspects that need consideration while developing any QCA circuit are the functional level which corresponds to the netlist of the function to be implemented, the physical level considering all the constraints such as area, latency, power, etc., and geometric level which deals with the layout of the design [31]. The priority of available techniques in the literature targeting better QCA designs of MUX circuits, are more focused on area and latency. Even though area and latency are important factors, power consumption should play a vital role in overall performance of the designed circuits. In this paper, QCA MUX designs targeting better energy dissipation are proposed.
Different QCA MUX designs are available in the literature based on their functional level or physical level implementation. Most of these designs were developed considering functional level where the majority logic structure is used as the fundamental building block [14,15,16,20,21]. An additional available QCA structure which is a modified majority gate reported in [32] was also used to develop a MUX [19]. Other designs were developed as special structures without considering the existing majority gate as a basic building block [13,17,18]. The current majority logic-based architectures limit the synthesis tools to constraint to a two-way design in which the first part is purely on functional level and then do a conversion of this functional level into a majority-based design. In this paper, the MUX structure is developed by considering the physical level aspects of energy dissipation as the first priority. Here, we use the relative positioning of the cells in the QCA architecture for the MUX implementation. This design can be used as a fundamental building block and as a possible replacement for the basic structures of QCA for energy-efficient circuit designs. In addition, other physical level aspects such as latency and area, and the geometrical aspects such as the placement of the input/output cells can be optimized for overall circuits using the proposed MUXes and their different positions. Moreover, the availability of such QCA structures will expand the fundamental library available to the QCA designs and will allow the designers to develop low-power large-scale circuits.

2. Methodology

2.1. Proposed QCA Structures of a 2 × 1 MUX

MUX is one of the fundamental functions used for implementing logic circuits. The 2 n × 1 MUX consists of 2 n inputs, n selector inputs, and 1 output. A 2 × 1 MUX performs the function given in Equation (1).
F = S ¯ I 0 + S I 1
In this paper, two different energy-efficient 2 × 1 MUX designs are proposed. These low-power designs are characterized by the relative positioning of the cells in the architecture. The first QCA structure of the proposed MUX is shown in Figure 1a. This is a square-like structure with three inputs ( S , I 1 , I 0 ), one constant, and one output (F). The constant is always set at logic 0, i.e., with a charge of −1.00. The input ( I 1 ) which is near the selector input ( S ) is the most significant bit in this 2 × 1 MUX. The input diagonally opposite to the selector is the least significant bit, which is I 0 in this case. An alternate design is also possible by changing the constant to logic 1. In this case, the inputs I 0 and I 1 will interchange their positions as shown in Figure 1b. Throughout this paper, the designs of the proposed 2 × 1 MUX with constant logic 0 and logic 1 are known as MUX1 and MUX2, respectively. The availability of MUX1 and MUX2 will give the options of selecting the best structure for designing different efficient-energy circuits.

2.2. Basic Two-Input Functions Using the Proposed MUXes

By selecting different values of I 0 and I 1 , different two-input logic functions such as AND, OR, NAND, NOR, X-OR, and X-NOR can be implemented using 2 × 1 MUX without using any additional logic gates other than the inverter. The proposed 2 × 1 MUX designs are used to implement these basic logic operations as shown in Table 1. The selector is always used as one of the input ( A ) , and the second input ( B ) is used directly or as a complement to the input lines of the MUX based on the function. The AND, NAND and X-OR operations are designed using MUX1. On the other hand, the OR, NOR and X-NOR are designed using MUX2. The selection between MUX1 and MUX2 are done based on energy dissipation. The better energy dissipation is achieved when the inputs are close to each other (S, I 1 for MUX1, and S, I 0 for MUX2). The energy dissipation of these designs is provided in Section 3. Since all the basic two-input logic functions can be implemented with MUX, it can be added to the QCA library of fundamental logic units along with the majority gate and inverter.

2.3. Modularity Property

When dealing with the synthesis tools to minimize the logic circuits, one of the major factors is the modularity of the basic building block. The geometrical arrangement such as fundamental blocks placement, I/O locations, routing, etc. of the QCA structures also play an important role in the QCA logic synthesis. One of the advantages of the proposed MUX designs is the availability of 16 different positions which can perform the same way. A total of 8 such positions for the MUX1 are shown in Figure 2. In the same way, other 8 positions are possible with MUX2. By considering all these 16 positions and selecting the suitable combinations of these positions, the QCA circuits can be optimized in terms of energy dissipation and other performance factors such as area and latency.
There are many well-known techniques such as binary decision diagram (BDD) for implementing any logic functions using MUXes. For instance, we can design a 4 × 1 MUX using three 2 × 1 MUXes. In addition, an 8 × 1 MUX using three 4 × 1 MUXes, etc. In addition, there are further simplification techniques available to reduce the number of MUXes in the design [33]. A 4 × 1 MUX has four input lines, two selector lines, and one output. An abstract diagram of a 4 × 1 MUX design using three 2 × 1 MUXes and the QCA implementation are shown in Figure 3a,b, respectively.
It can be seen that the design is developed using MUX2 in the first level, and MUX1 in the second level. These positions are selected in a way that it will result in optimum energy dissipation. Here, the inputs to the first level MUXes are at clock zone 0, and the squares are in clock zone 1. The outputs of the first level MUXes are in clock zone 2 and are fed as the inputs to the second level MUX. The square for this MUX is in clock zone 3 and the final output is considered to be in clock zone 0. This clocking scheme is selected to obtain better energy dissipation for the overall design. The selector ( S 0 ) for the first level MUXes is common, and it is the least significant bit in the selection lines. When S 0 = 0 , 1st MUX (upper right) will pass I 0 and 2nd MUX (upper left) will pass I 2 . Similarly, when S 0 = 1 , 1st MUX will pass I 1 and 2nd MUX will pass I 3 . The 3rd MUX in the second level passes the output of the 1st MUX when S 1 = 0 and the output of 2nd MUX when S 1 = 1 .

2.4. Further Designs Using MUX

The use of both MUX and majority logic gate can lead to optimized QCA circuits. An abstract diagram of a full adder circuit using the MUX and majority logic gate is shown in Figure 4a. The majority logic gate is used to design the carry output ( C o u t ) and the MUX to design the sum output ( S u m ) in the circuit. The QCA implementation of the full adder circuit is shown in Figure 4b. The position 7 is used here for both MUXes. This design has 4 clock zones and uses an area of 0.05 µm2.
One of the major factors which decide the total energy dissipation of any circuit is the energy dissipation of the fundamental logic structure used in that circuit [31]. From a QCA energy dissipation point of view, the implementation of the fundamental logic operations using proposed MUXes is more efficient compared to the majority-based equivalent designs. Additionally, the number of circuits that can be implemented using a single majority logic gate is limited. However, using the 2 × 1 MUX and without adding additional logic gates, any two-input logic functions can be implemented with lower energy dissipation. For example, a two-input X-OR function require three majority logic gates, while the same function can be designed with only one 2 × 1 MUX.
Even though the proposed MUX-based designs are energy efficient compared to majority-based designs for the fundamental logic operations, special QCA structures such as majority, X-OR, etc. perform better for these particular logic operations. When building logic synthesis tools, the proposed MUXes will be a great addition to the library of basic structures for building energy-efficient QCA circuits.

3. Simulation Results and Comparison

This section discusses the energy dissipation of the proposed MUXes and the comparison with the other existing designs. The simulations for the proposed designs are done in QCA DesignerE [31] with the coherence vector engine. The energy dissipation is calculated using simulation engine coherence vector (w/ Energy). Other settings used to do the simulations and calculations of energy dissipation are shown in Table 2.

3.1. 2 × 1 MUX Designs

The simulation results for the proposed 2 × 1 MUX1 and MUX2 are shown in Figure 5a,b, respectively. One of the major advantages of the proposed structure is the lower energy dissipation of the circuit.
The comparison of energy dissipation of the proposed MUX designs with other existing MUX designs are shown in Table 3. The average energy is calculated by taking the average of the result of all the different input combinations.
From the table, the proposed MUX designs outperform all the other existing MUX designs in terms of power consumption. The proposed MUX1 and MUX2 designs with 2 clock zones have averages energy dissipation of 0.545 meV and 0.479 meV, which provide approximate reductions of 26% and 35% compared to the best existing design [17], respectively. The table also compare area and clock zones used in all these designs. The proposed MUXes with 2 clock zones provide better energy dissipation compared to their 1 clock zone designs. Even with 1 clock zone, the proposed designs give better energy dissipation compared to the best existing design. In addition, it can be noticed that the area and latency of the proposed designs are similar to the best MUX design [17].

3.2. MUX as a Fundamental Building Block for the Basic Two-Input Functions

As we discussed earlier, the MUX designs can be used as a basic building block for developing different fundamental digital logic circuits such as AND, OR, NAND, NOR, and XOR. Currently, QCA technology uses majority logic gate as the fundamental building block for designing these basic operations. Here, these circuits implemented using the proposed MUXes and majority gate are compared. Table 4 is comparing the average energy dissipation of each circuit for all input combinations. The table listed the energy dissipation for each function implemented with both MUX1 and MUX2 designs (with 2 clock zones) and their equivalent majority-based design. It is observed that the AND, NAND, and XOR operations give better energy dissipation while using MUX1 with reductions of 51%, 71%, and 67%, respectively. Additionally, OR and NOR operations give better energy dissipation with MUX2 design with reductions of 66% and 73%, respectively. In all these functions, the MUX-based designs give much better energy dissipation compared to the majority-based designs. For area, AND and OR functions give similar results, NAND and NOR give 50% reductions, and X-OR give 77% reduction. For clock zones, it can be noticed that the proposed MUX-based designs give similar results for all functions except X-OR which gives a reduction of 50%. The total energy dissipation of a circuit depends on the energy dissipation of the fundamental logic gates. Hence, the proposed MUX-based fundamental logic structures can be used to build circuits with better energy dissipation replacing the existing majority-based circuits.

3.3. 4 × 1 MUX Design

A 4 × 1 MUX can be designed by cascading the 2 × 1 MUXes. The simulation result of a 4 × 1 MUX using the proposed 2 × 1 MUXes is shown in Figure 6.
The parameters of a QCA design such as energy dissipation, area, and clock zones were compared with the existing designs in Table 5. The average energy dissipation is calculated by setting the total simulation time to 50 × 10 11 s in the QCA DesignerE. It can be noticed from the comparison that even though there are some designs with fewer clock zones and less area, the proposed design gives better average energy dissipation with a reduction of 19% compared to the best available design [19]. Following the same principle, larger MUXes ( 8 × 1 , 16 × 1 , etc.) can be designed using the proposed MUX structures which will lead to better overall average energy dissipation.
As discussed earlier, a 2 × 1 MUX can perform all the two-input functions without using any additional logic gates. This will give the designer the option to develop any logic circuit with only MUXes. However, by considering the available basic special QCA structures, the circuit can be further optimized in view of functional, physical, and geometrical levels. Such a design for the full adder using the MUX and majority logic gate was discussed in Section 2.4.
From the results discussed in this section, the proposed MUXes outperform all the existing MUX designs. These MUXes can be used as a basic building block for designing large-scale circuits targeting the optimization of energy efficiency. Furthermore, it can be observed that the proposed MUX designs can replace the majority structure in QCA for the fundamental logic operations for energy-efficient designs. Even though the proposed MUXes might not be the efficient structure for all the circuit designs in terms of other parameters which define the cost of the circuit such as area, latency, etc., the addition of these MUXes into the library of the QCA structures will optimize the implementation of circuits.

4. Conclusions

This paper proposes two energy-efficient designs of 2 × 1 MUX. These designs give better performance in terms of energy dissipation compared to the existing MUX designs. In addition, the proposed MUXes outperform the majority-based structures to design the fundamental logic circuits such as AND, OR, NAND, NOR, and X-OR in terms of energy efficiency. Other QCA circuit parameters such as area and latency are also similar or better compared to the existing designs. Using the proposed MUXes, larger energy-efficient MUX designs are also possible. A 4 × 1 MUX is designed using three 2 × 1 MUXes with better energy dissipation compared to the other available designs. In future, circuit developers can add the proposed MUX designs to their logic synthesis tool library which can greatly contribute to developing low-power efficient QCA nanotechnology circuit designs.

Author Contributions

Conceptualization, A.A. and A.K.G.; methodology, A.A. and A.K.G.; validation, A.A. and A.K.G.; formal analysis, A.K.G.; investigation, A.A.; resources, H.S.; writing—original draft preparation, A.K.G.; writing—review and editing, A.A.; project administration, A.A.; funding acquisition, A.A. All authors have read and agreed to the published version of the manuscript.

Funding

The authors extend their appreciation to the Deanship of Scientific Research at Jouf University for funding this work through research grant no (DSR2020-06-3686).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. 2013 International Technology Roadmap for Semiconductors (ITRS). 2013. Available online: https://www.semiconductors.org/resources/2013-international-technology-roadmap-for-semiconductors-itrs/ (accessed on 3 August 2021).
  2. Oya, T.; Asai, T.; Fukui, T.; Amemiya, Y. A majority-logic nanodevice using a balanced pair of single-electron boxes. J. Nanosci. Nanotechnol. 2002, 2, 333–342. [Google Scholar] [CrossRef] [PubMed]
  3. Oya, T.; Asai, T.; Fukui, T.; Amemiya, Y. A majority-logic device using an irreversible single-electron box. IEEE Trans. Nanotechnol. 2003, 2, 15–22. [Google Scholar] [CrossRef] [Green Version]
  4. Fahmy, H.A.H.; Kiehl, R.A. Complete logic family using tunneling-phase-logic devices. In Proceedings of the Eleventh International Conference on Microelectronics, ICM ’99, Safat, Kuwait, 22–24 November 1999; pp. 153–156. [Google Scholar] [CrossRef]
  5. Zahoor, F.; Hussin, F.A.; Khanday, F.A.; Ahmad, M.R.; Mohd Nawi, I.; Ooi, C.Y.; Rokhani, F.Z. Carbon nanotube field effect transistor (cntfet) and resistive random access memory (rram) based ternary combinational logic circuits. Electronics 2021, 10, 79. [Google Scholar] [CrossRef]
  6. Tabrizchi, S.; Panahi, A.; Sharifi, F.; Mahmoodi, H.; Badawy, A.H.A. Energy-Efficient Ternary Multipliers Using CNT Transistors. Electronics 2020, 9, 643. [Google Scholar] [CrossRef] [Green Version]
  7. Lent, C.S.; Tougaw, P.D.; Porod, W.; Bernstein, G.H. Quantum cellular automata. Nanotechnology 1993, 4, 49. [Google Scholar] [CrossRef]
  8. Tougaw, P.D.; Lent, C.S. Logical devices implemented using quantum cellular automata. J. Appl. Phys. 1994, 75, 1818–1825. [Google Scholar] [CrossRef]
  9. Lent, C.S.; Tougaw, P.D. A device architecture for computing with quantum dots. Proc. IEEE 1997, 85, 541–557. [Google Scholar] [CrossRef] [Green Version]
  10. Porod, W. Quantum-dot devices and quantum-dot cellular automata. Intern. J. Bifurc. Chaos 1997, 7, 2199–2218. [Google Scholar] [CrossRef] [Green Version]
  11. Snider, G.; Orlov, A.; Amlani, I.; Zuo, X.; Bernstein, G.; Lent, C.; Merz, J.; Porod, W. Quantum-dot cellular automata: Review and recent experiments. J. Appl. Phys. 1999, 85, 4283–4285. [Google Scholar] [CrossRef]
  12. Walus, K.; Jullien, G.A.; Dimitrov, V.S. Computer arithmetic structures for quantum cellular automata. In Proceedings of the Conference Record of the Thirty-Seventh Asilomar Conference on Signals, Systems & Computers, Pacific Grove, CA, USA, 9–12 November 2003; Volume 2, pp. 1435–1439. [Google Scholar] [CrossRef]
  13. AlKaldy, E.; Majeed, A.H.; Zainal, M.S.; Nor, D.M. Optimum multiplexer design in quantum-dot cellular automata. arXiv 2020, arXiv:2002.00360. [Google Scholar] [CrossRef]
  14. Sabbaghi-Nadooshan, R.; Kianpour, M. A novel QCA implementation of MUX-based universal shift register. J. Comput. Electron. 2014, 13, 198–210. [Google Scholar] [CrossRef]
  15. Sen, B.; Dutta, M.; Goswami, M.; Sikdar, B.K. Modular design of testable reversible ALU by QCA multiplexer with increase in programmability. Microelectron. J. 2014, 45, 1522–1532. [Google Scholar] [CrossRef]
  16. Rashidi, H.; Rezai, A.; Soltany, S. High-performance multiplexer architecture for quantum-dot cellular automata. J. Comput. Electron. 2016, 15, 968–981. [Google Scholar] [CrossRef]
  17. Asfestani, M.N.; Heikalabad, S.R. A unique structure for the multiplexer in quantum-dot cellular automata to create a revolution in design of nanostructures. Phys. B Condens. Matter 2017, 512, 91–99. [Google Scholar] [CrossRef]
  18. Song, Z.; Xie, G.; Cheng, X.; Wang, L.; Zhang, Y. An Ultra-Low Cost Multilayer RAM in Quantum-Dot Cellular Automata. IEEE Trans. Circuits Syst. II 2020, 67, 3397–3401. [Google Scholar] [CrossRef]
  19. Bahar, A.N.; Wahid, K.A. Design and Implementation of Approximate DCT Architecture in Quantum-Dot Cellular Automata. IEEE Trans. VLSI Syst. 2020, 28, 2530–2539. [Google Scholar] [CrossRef]
  20. Sen, B.; Goswami, M.; Mazumdar, S.; Sikdar, B.K. Towards modular design of reliable quantum-dot cellular automata logic circuit using multiplexers. Comput. Electr. Eng. 2015, 45, 42–54. [Google Scholar] [CrossRef]
  21. Sen, B.; Dutta, M.; Saran, D.; Sikdar, B.K. An efficient multiplexer in quantum-dot cellular automata. In Progress in VLSI Design and Test; Springer: Berlin/Heidelberg, Germany, 2012; pp. 350–351. [Google Scholar]
  22. Raj, M.; Gopalakrishnan, L.; Ko, S.B.; Naganathan, N.; Ramasubramanian, N. Configurable Logic Blocks and Memory Blocks for Beyond-CMOS FPGA-Based Embedded Systems. IEEE Embed. Syst. Lett. 2020, 12, 113–116. [Google Scholar] [CrossRef]
  23. Wang, L.; Xie, G. A Novel XOR/XNOR Structure for Modular Design of QCA Circuits. IEEE Trans. Circuits Syst. II 2020, 67, 3327–3331. [Google Scholar] [CrossRef]
  24. Safoev, N.; Jeon, J.C. Design and Evaluation of Cell Interaction Based Vedic Multiplier Using Quantum-Dot Cellular Automata. Electronics 2020, 5, 1036. [Google Scholar] [CrossRef]
  25. Babaie, S.; Sadoghifar, A.; Bahar, A.N. Design of an Efficient Multilayer Arithmetic Logic Unit in Quantum-Dot Cellular Automata (QCA). IEEE Trans. Circuits Syst. II 2019, 66, 963–967. [Google Scholar] [CrossRef]
  26. Bahar, A.N.; Wahid, K.A. Design of QCA-Serial Parallel Multiplier (QSPM) With Energy Dissipation Analysis. IEEE Trans. Circuits Syst. II 2020, 67, 1939–1943. [Google Scholar] [CrossRef]
  27. Abedi, D.; Jaberipur, G. Decimal Full Adders Specially Designed for Quantum-Dot Cellular Automata. IEEE Trans. Circuits Syst. II 2018, 65, 106–110. [Google Scholar] [CrossRef]
  28. Chu, Z.; Li, Z.; Xia, Y.; Wang, L.; Liu, W. BCD Adder Designs based on Three-Input XOR and Majority Gates. IEEE Trans. Circuits Syst. II 2020, 68, 1942–1946. [Google Scholar] [CrossRef]
  29. Seyedi, S.; Otsuki, A.; Navimipour, N.J. A New Cost-Efficient Design of a Reversible Gate Based on a Nano-Scale Quantum-Dot Cellular Automata Technology. Electronics 2021, 10, 1806. [Google Scholar] [CrossRef]
  30. Shannon, C.E. The synthesis of two-terminal switching circuits. Bell Syst. Tech. J. 1949, 28, 59–98. [Google Scholar] [CrossRef]
  31. Torres, F.S.; Wille, R.; Niemann, P.; Drechsler, R. An energy-aware model for the logic synthesis of quantum-dot cellular automata. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 2018, 37, 3031–3041. [Google Scholar] [CrossRef]
  32. Bahar, A.N.; Wahid, K.A. Design of an efficient n × n butterfly switching network in quantum-dot cellular automata (QCA). IEEE Trans. Nanotechnol. 2020, 19, 147–155. [Google Scholar] [CrossRef]
  33. Vudadha, C.; Surya, A.; Agrawal, S.; Srinivas, M.B. Synthesis of Ternary Logic Circuits Using 2:1 Multiplexers. IEEE Trans. Circuits Syst. I 2018, 65, 4313–4325. [Google Scholar] [CrossRef]
Figure 1. Two different QCA structures of 2 × 1 MUX: (a) Design with constant −1.00 (MUX1); (b) Design with constant +1.00 (MUX2).
Figure 1. Two different QCA structures of 2 × 1 MUX: (a) Design with constant −1.00 (MUX1); (b) Design with constant +1.00 (MUX2).
Electronics 10 01885 g001
Figure 2. Different possible positions for MUX1.
Figure 2. Different possible positions for MUX1.
Electronics 10 01885 g002
Figure 3. 4 × 1 MUX using 2 × 1 MUXes: (a) Abstract diagram; (b) QCA Implementation.
Figure 3. 4 × 1 MUX using 2 × 1 MUXes: (a) Abstract diagram; (b) QCA Implementation.
Electronics 10 01885 g003
Figure 4. Full adder using MUX and Majority logic gates: (a) Abstract diagram; (b) QCA Implementation.
Figure 4. Full adder using MUX and Majority logic gates: (a) Abstract diagram; (b) QCA Implementation.
Electronics 10 01885 g004
Figure 5. Simulation results of the proposed 2 × 1 MUXes: (a) MUX1; (b) MUX2.
Figure 5. Simulation results of the proposed 2 × 1 MUXes: (a) MUX1; (b) MUX2.
Electronics 10 01885 g005
Figure 6. Simulation result of proposed 4 × 1 MUX.
Figure 6. Simulation result of proposed 4 × 1 MUX.
Electronics 10 01885 g006
Table 1. Implementation of basic 2-input logic functions using MUX.
Table 1. Implementation of basic 2-input logic functions using MUX.
FunctionSimplificationsQCA Implementation
AND ( F = A B ) I 0 = 0 , I 1 = B , S = A Electronics 10 01885 i001
OR ( F = A + B ) I 0 = B , I 1 = 1 , S = A Electronics 10 01885 i002
NAND ( F = A B ¯ ) I 0 = 1 , I 1 = B ¯ , S = A Electronics 10 01885 i003
NOR ( F = A + B ¯ ) I 0 = B ¯ , I 1 = 0 , S = A Electronics 10 01885 i004
X-OR ( F = A B ) I 0 = B , I 1 = B ¯ , S = A Electronics 10 01885 i005
X-NOR ( F = A B ¯ ) I 0 = B ¯ , I 1 = B , S = A Electronics 10 01885 i006
Table 2. QCA DesignerE settings for simulations.
Table 2. QCA DesignerE settings for simulations.
ParameterStandard Value
Size of a quantum dot5 mm
Dimensions of each cell18 nm × 18 nm
Distance between two cells20 nm
Layer Separation11.5 nm
Operating temperature1 K
Relaxation time 1 × 10 15 s
Clock Period 4 × 10 12 s
Input Period 4 × 10 12 s
Time Step 1 × 10 16 s
Total simulation time 50 × 10 12 , 50 × 10 11 * s
Max. saturation energy of clock signal 9.8 × 10 22 J
Min. saturation energy of clock signal 3.8 × 10 23 J
Clock Shift0
Clock Slope 1 × 10 12 s
Shape of clock signal slopesGAUSSIAN
Radius of Effect80 nm
Relative permittivity of material for QCA system12.9
* Used for 4 × 1 MUXes to obtain the power dissipation of all input combinations.
Table 3. Comparison of proposed 2 × 1 MUX with existing designs.
Table 3. Comparison of proposed 2 × 1 MUX with existing designs.
Circuit DesignEnergy Dissipation (meV) with Respect to the Following Input Assignments ( I 0 I 1 S )Average Energy
Dissipation (meV)
Area
(µm2)
Clock
Zones
000001010011100101110111
Proposed MUX1 (2 zones)0.3280.1750.3070.6490.4091.2780.3280.8890.5450.012
Proposed MUX1 (1 zone)0.3470.1930.3270.7830.5421.3260.4431.0030.6210.011
Proposed MUX2 (2 zones)0.4920.4070.3560.1801.2780.4790.1760.4650.4790.012
Proposed MUX2 (1 zone)0.6070.5220.4900.2011.3270.6120.1940.4830.5540.011
[13] (b) *0.3610.2080.3401.5810.9041.1020.7851.2650.8180.012
[13] (c) *1.0761.5151.0291.4880.4182.1700.9071.7641.2960.012
[14]1.1821.2911.2730.7090.8111.3050.8550.7251.0190.022
[20]0.8021.4871.3710.8760.6972.0951.2631.4841.2590.022
[15]1.0451.9370.9720.9000.9651.9450.8900.9081.1950.022
[21]1.2841.7931.8150.9180.7242.3311.2751.4491.4490.023
[16]0.3631.3340.3610.7340.7961.3430.7920.7520.8090.012
[17]0.7430.8450.5080.6380.7190.8760.6880.8780.7370.011
[18]1.0490.7781.0431.0540.7840.7830.7801.0640.9170.012
[19]0.7621.5500.2740.4051.4171.5441.4270.8961.0340.012
[31]2.4771.2852.2822.4761.9112.2331.7132.2082.0730.095
[22]1.7821.6871.7180.9100.8481.7010.7430.9261.2900.012
* The circuits are designed with 2 clock zones to meet the functionality in coherence vector simulation.
Table 4. Comparison of basic logic functions using the proposed 2 × 1 MUX with existing majority-based designs.
Table 4. Comparison of basic logic functions using the proposed 2 × 1 MUX with existing majority-based designs.
FunctionCircuit-Based DesignEnergy Dissipation (meV) with Respect to the Following Input Assignments ( AB )Average Energy
Dissipation (meV)
Area
(µm2)
Clock
Zones
00011011
ANDProposed MUX10.27840.12430.25660.58600.31130.012
Proposed MUX20.27020.92710.19220.36880.43960.012
Majority [31]0.29620.98800.86460.37080.62990.012
ORProposed MUX10.36630.19950.84840.38360.44950.012
Proposed MUX20.29890.12660.12520.41290.24090.012
Majority [31]0.30560.99790.87620.68010.71500.012
NANDProposed MUX10.29050.17230.20050.16400.20680.013
Proposed MUX20.17350.16881.18410.35520.47040.013
Majority [31]0.38911.08250.95730.45590.72120.023
NORProposed MUX10.35461.18470.16780.17450.47040.013
Proposed MUX20.15990.20230.16850.29420.20620.013
Majority [31]0.38921.07831.00950.50790.74620.023
X-ORProposed MUX10.54950.44820.43811.49010.73150.023
Proposed MUX20.38510.71731.42290.49290.75450.023
Majority [31]1.84312.98512.64771.49902.24370.096
Table 5. Comparison of proposed 4 × 1 MUX with existing designs.
Table 5. Comparison of proposed 4 × 1 MUX with existing designs.
Circuit DesignAverage Energy Dissipation (meV)Area (µm2)Clock Zones
Proposed1.720.045
[13]2.830.033
[19] (a)2.130.055
[19] (b)2.970.086
[17]2.190.084
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Almatrood, A.; George, A.K.; Singh, H. Low-Power Multiplexer Structures Targeting Efficient QCA Nanotechnology Circuit Designs. Electronics 2021, 10, 1885. https://doi.org/10.3390/electronics10161885

AMA Style

Almatrood A, George AK, Singh H. Low-Power Multiplexer Structures Targeting Efficient QCA Nanotechnology Circuit Designs. Electronics. 2021; 10(16):1885. https://doi.org/10.3390/electronics10161885

Chicago/Turabian Style

Almatrood, Amjad, Aby K. George, and Harpreet Singh. 2021. "Low-Power Multiplexer Structures Targeting Efficient QCA Nanotechnology Circuit Designs" Electronics 10, no. 16: 1885. https://doi.org/10.3390/electronics10161885

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop