Next Article in Journal
On-Surface Thermal Stability of a Graphenic Structure Incorporating a Tropone Moiety
Next Article in Special Issue
Spatially-Localized Functionalization on Nanostructured Surfaces for Enhanced Plasmonic Sensing Efficacy
Previous Article in Journal
Optical and Physicochemical Characterizations of a Cellulosic/CdSe-QDs@S-DAB5 Film
Previous Article in Special Issue
Phyto-Capped Ag Nanoparticles: Green Synthesis, Characterization, and Catalytic and Antioxidant Activities
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Optical Interconnects Finally Seeing the Light in Silicon Photonics: Past the Hype

1
Center for Nanoelectronics and Devices (CND), The American University in Cairo, Cairo 11835, Egypt
2
Faculty of Mathematics and Computational Sciences, University of Prince Edward Island (UPEI)-Cairo Campus, Universities of Canada in Egypt, Cairo, Egypt
3
Physics Department, American University in Cairo, Cairo 11835, Egypt
*
Author to whom correspondence should be addressed.
Nanomaterials 2022, 12(3), 485; https://doi.org/10.3390/nano12030485
Submission received: 18 December 2021 / Revised: 10 January 2022 / Accepted: 19 January 2022 / Published: 29 January 2022
(This article belongs to the Special Issue Plasmonic Nanostructures and Their Applications)

Abstract

:
Electrical interconnects are becoming a bottleneck in the way towards meeting future performance requirements of integrated circuits. Moore’s law, which observes the doubling of the number of transistors in integrated circuits every couple of years, can no longer be maintained due to reaching a physical barrier for scaling down the transistor’s size lower than 5 nm. Heading towards multi-core and many-core chips, to mitigate such a barrier and maintain Moore’s law in the future, is the solution being pursued today. However, such distributed nature requires a large interconnect network that is found to consume more than 80% of the microprocessor power. Optical interconnects represent one of the viable future alternatives that can resolve many of the challenges faced by electrical interconnects. However, reaching a maturity level in optical interconnects that would allow for the transition from electrical to optical interconnects for intra-chip and inter-chip communication is still facing several challenges. A review study is required to compare the recent developments in the optical interconnects with the performance requirements needed to reach the required maturity level for the transition to happen. This review paper dissects the optical interconnect system into its components and explains the foundational concepts behind the various passive and active components along with the performance metrics. The performance of different types of on-chip lasers, grating and edge couplers, modulators, and photodetectors are compared. The potential of a slot waveguide is investigated as a new foundation since it allows for guiding and confining light into low index regions of a few tens of nanometers in cross-section. Additionally, it can be tuned to optimize transmissions over 90° bends. Hence, high-density opto-electronic integrated circuits with optical interconnects reaching the dimensions of their electrical counterparts are becoming a possibility. The latest complete optical interconnect systems realized so far are reviewed as well.

1. Introduction

The interconnect problem in the integrated circuits industry was brought up a couple of decades ago [1] and the search continues for a solution [2,3,4,5,6,7,8,9,10,11]. Global interconnects that generally span the chip size do not benefit from scaling as chip sizes remain approximately constant or even increase [12]. To be able to keep up with increasing bandwidths and more stringent power requirements, different materials have been adopted, but further advancement has been hampered by integration challenges [13]. Local interconnects, on the other hand, have traditionally benefited from scaling as wires became shorter. However, with decreasing device geometries and increasing circuit functionality and complexity, wire density presents a serious bottleneck. To be able to pack more wires into less space, wire width decreased, which increased their resistance. To mitigate this, the height of the wires has been increased to reduce the resistance, but this comes at a tradeoff with the increased capacitance between the wires as they can become closer to each other [6], as shown in Figure 1. With nanoscale wires, large fabrication variability has drastically increased [13,14].
The signal coupling has also surfaced as an important issue, where a signal in one wire may undesirably couple to a neighboring wire, adding noise [5,6,7,8,9,10,11,12,13,14,15,16,17,18]. In the meantime, these problems have been mitigated by the judicious use of repeaters [19,20,21] and wire-aware designs [20,21,22], such as making sure that components that communicate with each other frequently are close together to reduce delays and keeping wires with frequent activity far away from each other to reduce coupling noise [2,4,23]. Passive and active shielding have been employed to solve the signal coupling issue [2,4,23]. Some promising solutions are actively being researched to keep metal wires in chips for longer. Balasubramonian et al. proposed to use different wires for different purposes, i.e., optimizing for latency, bandwidth, or power [24].
Signaling using a low voltage swing was proposed [25,26] as a possible solution in metal interconnects, but it has many problems in practice because of noise sensitivity and associated sensitive analog circuitry. Ghoneima et al. and others have been working on serialization–deserialization protocols, which have breathed life into metal interconnects by enabling the long wires to work as transmission lines and benefit from the speed of light transmission speeds at low power levels [27,28,29,30,31,32], but we are already very near the theoretical limits, whether this be for wire density or power consumption [33]. The search for a complementary technology of choice for interconnects remains of paramount importance.

1.1. Interconnect Bottleneck in Chip Multiprocessors (CMPs)

Moore’s law will continue to use many-core chips. Future processors will contain hundreds or even thousands of cores communicating with each other through a network on chip (NoC). The NoC used to communicate between the different cores is a hot topic [34,35,36]. Many-core chips using state-of-the-art metal wire technology, including repeaters and low voltage swings, will still find their whole power budget (150 W to 200 W) taken up by interconnects [5]. Another power management technique is to turn off parts of the chip to avoid overheating. The parts of the chip that are turned off to reduce power consumption, so-called “dark silicon”, are growing at an alarming rate that limits multicore scaling [37]. Interconnects currently consume half a microprocessor’s power and it is only expected to increase, reaching 80% of the total microprocessor power [22].
The interconnect problems highlighted earlier are exacerbated even more in supercomputers. Moving towards exascale supercomputers capable of performing 1018 operations per second, reliability is a great issue with no real solution in sight [38]. There is an immense need to improve efficiency as exascale supercomputers are predicted to have electricity bills in the hundreds of millions of dollars per year, and the traditional voltage scaling will not work because it will add to the reliability problem.
Neither the metal wires nor the transmission lines can keep up with the ever-increasing bandwidth requirements that will exceed 100 Gbps for manycore processors [39,40,41]. The optical interconnects, on the other hand, have already surpassed 100 Gbps and are theoretically able to go beyond 1 Tbps using dense wavelength division multiplexing methods [39]. As we shall see, there is no free lunch. While optical interconnects bring about an exciting future, many challenges need to be overcome, mostly with respect to power consumption and integration. Progress has been very rapid to overcome these challenges over the past few years, so we can be optimistic.

1.2. Optical Interconnects

Optical interconnects are not the only proposed solution to the interconnect bottleneck [39]. Wireless network-on-chip suffers from a decaying signal with long distances and a limited bandwidth [42,43]. Therefore, there are concerns about its long-term viability as a technology of choice. We also briefly mentioned transmission lines; they will not be able to solve the problem for too long as there are issues with the scalability [39,40,41,44].
Many researchers have highlighted the strong need for optics in supercomputing [45,46]. In addition to solving the interconnect problems, there are approaches for ‘zero-energy’ logic and calculations using passive optical components that could be game-changers in supercomputing due to the heating problems [46]. Researchers at IBM have highlighted the importance of optical interconnects in supercomputing [45]: “Interconnects of the future will be dominated by optics, as this offers the potential for a far better cost solution for all distances. As we look to exascale, even the connections between processors on a common circuit card need to be optical because of the amount of bandwidth needed. Silicon photonics represents a nearly ideal solution to the interconnect problem.”
By now, it is clear that electrical interconnects are posing the bottleneck for further advancements in many-core systems and exascale supercomputers. The theoretical limits associated with optical interconnects mean that it will eventually bring in endless possibilities and will continue to advance with technology scaling. Questions, such as “Why do we need optical interconnects?” or “Are optical interconnects the solution to the interconnect bottleneck?”, are no longer important because a strong case has been made [33,46]. To date, metal interconnects are still the technology of choice because they are the cheapest and simplest way to route signals. However, with the first one-thousand-core processor unveiled [47], it is becoming imperative to make the switch. The most relevant question now is: what needs to be done for optical interconnects to be ready when the decision to switch is made?

1.3. Performance Requirements for Optical Interconnects

Figure 2 shows a schematic of the components that comprise an optical interconnect system.
This review is concerned with optical interconnects for multicore and many-core systems. Therefore, silicon photonics plays a very important role. There is generally a schism within the circle of researchers working with silicon photonics: one group considers integrating non-silicon components with silicon as silicon photonics (albeit “hybrid” or “heterogeneous integration”), even if this consists of changes in standard wafer processing. The other group is adamant on “zero-change”, i.e., using the same fabrication flow that is currently used with electronics to build photonics circuits. Each approach has its advantages and disadvantages.
The requirements laid out about a decade ago, largely remain accurate [33] and are summarized as follows: off-chip optical interconnects should consume 100 fJ/bit or lower, this number will be reduced to 50 fJ/bit by 2022. On-chip optical interconnects should be 50–200 fJ/bit, and by 2022 that number should be 10–30 fJ/bit. The total bandwidth of all interconnects on a chip is expected to reach 780 Tbps by 2022.
Heterogeneous integration has been able to demonstrate components that meet the device requirements for optical interconnects, but we have yet to see a complete optical interconnect system that meets these requirements. Zero-change silicon photonics, on the other hand, are still far behind; complete systems consume around several pJ/bit and the bandwidth has not reached 10 Gbps [48,49]. This is because the rigid rules imposed by the zero-change process leave little flexibility for designers. In both cases, the advancements in the fabrication will definitely translate to performance improvements. As discussed in the heterogeneous integration road map for 2021, there are different integration platforms for photonics: chip-level integration that is slow and expensive, wafer-level integration with its cost expected to decrease with maturity, and system-in-package (SiP) integration, which offers the highest functional density and performance at lower latency, cost, and power. The challenges facing heterogeneous integration include, thermal management and test access. The possible potential solutions are discussed in [50].
The remainder of the paper is divided as follows. Section 2 discusses the individual components of an optical interconnect system at length, starting with (A) optical sources and (B) different waveguide platforms, then (C) modulators and ending with (D) photodetectors. Recent advances in multiplexing/de-multiplexing optical devices are reviewed in detail in [51,52,53]. In Section 3, the complete interconnect systems recently demonstrated will be highlighted. Section 4 discusses the future outlook of optical interconnects and concludes the paper.

2. Components of an Optical Interconnect

Figure 3 summarizes the components for an optical interconnect system that will be discussed in detail in this paper. There are three major components in addition to waveguide routing and multiplexing techniques.

2.1. Source

There are two methods to introduce light into the system. The first one is to couple light from an off-chip source to the chip. The second approach is to have an on-chip source.

2.1.1. Off-Chip Sources

There are a variety of advantages to having off-chip sources. The stability of off-chip sources is much easier accomplished than on-chip sources. First, the heat generated by lasers can be 3 to 10 times the optical power of the laser [54]. Thus, the thermal management of this heat can complicate the on-chip interconnect system in multiple ways. On the other hand, the buried oxide used as cladding is a thermal insulator; thus, off-chip heat sinking is more effective. In addition, stable laser operation requires a constant temperature and the whole chip would have to be cooled. Second, as will be explained in-depth shortly, III–V lasers are more mature than silicon lasers due to their higher efficiency, resulting in high yields and commercial availability.
The historical developments leading to choosing silica as the standard material for optical fibers are reviewed in [55]. In any case, this material choice leads to the mode field diameter for a single-mode fiber being between 6 and 10.4 µm [56]. On the other hand, for a height of 220 nm, the typical single-mode silicon waveguides are 150 nm to 400 nm in width [54] leading to losses above 30 dB, which is obviously unacceptable [57]. This mode mismatch needs to be solved. Table 1 compares the different types of packaging solutions for coupling light from the optical fiber to the silicon photonic waveguide.
In Table 1, the operation wavelength is 1550 nm, while the 1 dB bandwidth refers to the range of wavelengths around the operation wavelength for which the drop in transmission is less than 1 dB.
The larger this bandwidth, the better, as the coupler can be used in wavelength division multiplexed systems for large transmission capacity, in which each wavelength is a data channel carrying a different set of data. A narrow bandwidth is suitable in case only the 1550 nm wavelength is used. In case a narrow bandwidth is used and a high data-carrying capacity is required, stringent requirements for the lasers will be needed as the linewidth of the laser has to be as narrow as possible.
The remainder of this section will discuss the methods for efficiently coupling light from off-chip sources to the chip. This is accomplished either through optical fibers or co-packaging the laser on the chip. In both cases, this coupling can be performed by using grating couplers or by edge-coupling.

Edge-Coupling

Edge-coupling has not been used in complete interconnect systems due to the non-standard fabrication involved, but deserves attention because of its advantage in broadband systems. Edge-coupling between a fiber and silicon photonic waveguide is typically performed by using a spot-size converter, as shown in a. The advantage in comparison to grating methods is that it allows broadband transmission.
The fabrication requires depositing materials with a refractive index between that of silicon dioxide (n = 1.44) and silicon (n = 3.47), and can either be typically silicon nitride [56] or a polymer [57,64,65], and in all cases losses can be below 1 dB in perfect alignment conditions. While CMOS compatible, the thickness of the spot size converter is generally not required for any other part of the chip and is thus an added expense in the fabrication process. Another important limitation is that the placing of the fiber has to be at the edges of the chip only, severely limiting the number of IOs on a chip. Additionally, edge coupling requires a post-fabrication processing: the accurate dicing and polishing of the edge of the chip is needed to reduce the scattering/reflections. This is not realized with lithography precision and large fabrication variability will exist between the different chips. The use of a lensed fiber, as shown in a, better matches the modes for higher efficiency and has been applicable for high optical power (around 1 W) [58]. However, fabrication tolerance is very tight, as shown in Table 1, and the permanent welding of the fiber to the chip is justified using materials that are resistant to thermal expansion.

Vertical Grating Couplers

Figure 4b is used to explain the operation principle. It is based on Bragg diffraction and is explained in detail in [54]. Briefly, light with a wavelength λ from a waveguide diffracts when it reaches the diffraction grating. A fiber placed on top of the gratings will collect this light if the waveguide propagation constant β in (1)
β = 2 π n e f f λ
is matched with the horizontal component of the diffracted light k x described by the Bragg condition in (2)
β k x = m 2 π Λ
where n e f f is the effective refractive index of the waveguide, m is the diffraction order, and Λ is the period. The diffracted light is shown by the blue arrow in Figure 4b. An important design parameter is the angle θ at which the light diffracts, as is described by (3):
n e f f sin θ = λ Λ
while the theory of operation is described for light traveling from the waveguide to the fiber, it is a reciprocal system so that the same design will focus light from the fiber to the waveguide.
Figure 4c shows a cross-section schematic of a vertical grating coupler. Vertical coupling gratings have the advantage that no post-fabrication steps are needed and only a 3 dB to 0.9 dB insertion loss is achievable [66,67]. Another great advantage is that coupling can be performed anywhere on the chip. An interesting approach is to co-package the laser with the chip without using a fiber [68]. The main disadvantage of grating couplers, however, is that it is optimized for a specific central wavelength so it is generally a short free spectral range of only 35 to 80 nm in wavelength. Therefore, wideband systems cannot use a vertical coupling grating. However, for interconnect applications, such a wideband operation is usually not required even with dense wavelength multiplexing. This is why vertical grating couplers have been the method of choice for complete interconnect systems [69,70]. Luxtera employed a holographic lens system to couple light to its on-chip optical systems [71]. It is also feasible to align many (hundreds) fibers to many couplers simultaneously using an “optical shunt” [58]. Additionally, larger gratings allow more relaxed alignment tolerance (±10 um for >1 dB loss) at the expense of an even narrower bandwidth [58].
For permanent fixtures, a problem with vertical grating couplers is the mechanical robustness of the fiber packaged on top of the grating coupler. Teraxion pioneered a new approach—surface grating coupler—complementing both vertical grating couplers and edge couplers. By clever engineering, the grating period can be designed so that the diffraction angle is almost 0. Thus, the fiber is placed parallel to the chip with a very small insertion angle [72].
An interesting innovation dubbed meta-material gratings involves the sub-wavelength patterning of silicon gratings (with dimensions often below 100 nm), enabling the engineering of the effective refractive index of the material used in the grating coupler [73]. This additional degree of freedom can offer lower insertion loss and a higher bandwidth [58].

2.1.2. On-Chip Sources

Photonic packaging is far more expensive than electronics packaging and is described as “perhaps the most significant bottleneck” in integrated photonic device development [58]. By using on-chip interconnects, all light generation and detection will be performed on-chip, and photonic packaging will no longer even be necessary. The yield when using on-chip sources is predicted to exceed off-chip sources, as the coupling method is very sensitive to inaccurate post-fabrication modifications.
Efficient lasing in silicon is very challenging because it is an indirect band gap semiconductor. This section first starts with a brief review of the attempts at overcoming these challenges in silicon. Another arguably more promising approach is the heterogeneous integration with III–V on-chip sources. Table 2 summarizes this section.

Lasing in Silicon

Quantum confinement effects can also cause light emission in silicon. Different structures exhibiting quantum confinement have been demonstrated to emit and/or amplify light and can be categorized as 1D structures (nanowires, nanopillars) and 0D structures (porous silicon, nanocrystals). Due to the obvious interaction with phonons when emitting light in silicon, heat has a very critical effect. Early reports of lasing in silicon demonstrated emitting different wavelengths of light at cryogenic temperatures [74]. Lasing using nanocrystals, on the other hand, was demonstrated at room temperature by amplified spontaneous emission; however, this required an external source of light [75]. In addition, to avoid the critical heat issues, only very short pulses of light were possible.
Raman scattering has been used to generate light in silicon-based on an external optical pump. Two-photon absorption (TPA), due to the long recombination time of photogenerated carriers, has been a barrier to high transmission [76,77]. A reverse-biased PN junction was used in a silicon gain cavity to sweep away the carriers and overcome free carrier absorption in all-silicon Raman lasers reaching an output power of 50 mW [76,77]. Efficiency however is limited at 28%. Due to such a low efficiency, Raman lasers have not been demonstrated in complete optical interconnect systems. Another problem was the prohibitively large size of the gain cavity, requiring a length that could reach several centimeters even when a ring laser cavity was used [77].
Another option for lasing in silicon relies on blackbody radiation. When heated, silicon emits infrared radiation and it may be possible to use this as a source of light in mid-infrared applications [78,79].

Heterogeneous Integration

Despite many reports at the beginning of the century predicting the end of silicon [80,81], the IC industry was reluctant to incorporate different materials over the past few decades. However, heterogeneous integration is imminent in recent ITRS reports (2014), especially with III–V semiconductors. Hence, large-scale hybrid devices, such as InP/Si lasers and Ge/Si photodetectors, can become a reality in the not-too-distant future.
As expected, the non-silicon lasers easily outperform silicon lasers because they can have a direct bandgap and they do not suffer from two-photon absorption. InAs quantum dots grown on silicon have been shown to operate up to 110 °C temperatures, thus they are compatible with the harsh environment often found in electronic ICs [82]. Furthermore, they exhibit an efficiency of 37% and output power above 176 mW, numbers unheard of with silicon [82]. The same lab in UCSB more recently added a GaAs buffer between the silicon and InAs quantum dots and achieved a higher rate of power and efficiency. More importantly, reliability was key in this work with extrapolated mean-time-to-failure of millions of hours, ensuring the commercial viability of this technology [83]. Chen et al. also demonstrated reliable lasers based on InAs quantum dots on silicon with extrapolated mean-time-to-failure of over 100,000 h and operation at temperatures of up to 120 °C [84].
Heterogeneously integrating III–V gain media on the silicon offered advantages that were not possible by only using III–V media. A limiting factor when using III–V material is that it is used to generate the photons; spontaneously generated photons can cause free carrier generation that can attenuate the gain. By directly placing the gain material on top of the silicon, the photons were quickly swept to the silicon and thus did not cause any problems in the gain section [85].
Wavelength division multiplexing (WDM) is the main driver behind the high bandwidth possible in optical interconnects. Each wavelength is a data channel, and the waveguide is able to carry light at all the different wavelengths while maintaining the integrity of the data contained in each channel. This enables a single waveguide to carry the data that would normally be carried using dozens of copper wires. To enable dense wavelength division multiplexing, the linewidth of the laser is a very important parameter; narrower linewidth allows denser multiplexing [85].
Figure 5 shows how the output of 25 lasers, each operating at a different wavelength, can be modulated and wavelength-multiplexed to create a 1 Tbps silicon-based transmitter [93]. Liu et al. demonstrated how the narrow linewidth of 1.8 kHz can be used to transmit 4.1 Tbps using 64 channels [94].

External Cavity Lasers

An external cavity laser is composed of two chips: an active chip, typically formed by III–V reflective semiconductor optical amplifiers butt-coupled to a silicon waveguide, and a silicon photonics circuit designed to be wavelength-selective, which may involve bragg reflectors [95], ring resonators [96], or photonic crystals, for example [95]. The space in between these two chips is the external cavity. Over the past decade, the linewidth achieved by external cavity lasers decreased from around 100 kHz to ~1 kHz [97] and even sub-kHz by choosing Si3N4 as the waveguide material [98]. The narrowest laser linewidth of 40 Hz was achieved using an external cavity laser using InP active material and an Si3N4 photonic circuit involving a spiral waveguide, 3 micro ring resonators, and a Sagnac mirror [90].

2.2. Waveguide

The basic waveguide is considered as the platform upon which the optical interconnect system is built. A high index core allows for smaller waveguide pitch and tighter bends, while a low index core offers lower propagation delay [99]. Optical waveguides offer a better propagation delay than any electronic interconnect. The current trends show that optics will migrate from board levels to the chip level, within the next few years [100]. However, the size mismatch between the optical waveguides and the existing electrical interconnects poses a challenge for on-chip optical interconnects integration [100,101,102]. Additionally, due to the size of the guided mode footprint, usually a 0.5 to 3 µm separation distance is required between the adjacent waveguides to avoid crosstalk. This, in turn, impacts the bandwidth density that can be realized. Wavelength division multiplexers (WDMs) can be utilized to enhance the I/O bandwidth [99]. The most common silicon waveguide is a strip waveguide with a height of 220 nm, built in the top device layer in typical SOI wafers. For single-mode propagation, widths of 400 nm to 500 nm are used, with losses of around 0.1 to 0.3 dB/mm [71,103]. As soon as such low losses in silicon waveguides were demonstrated, silicon photonics as an industry boomed. Silicon nitride waveguides are also considered as a very attractive option for long distance due to their extremely low losses of 0.1 dB/m [104]. In addition, with wavelength multiplexing, the capacity of a single silicon waveguide built using the SOI platform mentioned earlier, was demonstrated to exceed 1 Tbps using only a width of 520 nm [105].

2.2.1. Towards Sub-100 nm Optical Interconnects

The recent trends indicate that the next move for optical interconnects integration is on-chip integration after board-level integration has reached a certain level of maturity [100,106,107]. As mentioned above, silicon waveguides with a width as low as 400 nm have been demonstrated, yet 400 nm is still much greater than today’s electronics interconnects dimensions, which are less than 100 nm when using the latest node technology [99]. Guiding the light inside the channels and waveguides is usually carried out by means of the total internal reflection (TIR) of light in a material with a high refractive index embedded inside another material with a lower refractive index [2]. The diffraction limit of TIR-based optical waveguides provides a lower limit to the acceptable dimension of a waveguide to successfully guide the light with a certain wavelength. To overcome the dimensions mismatch problem [100,101,102], both plasmonic waveguides, as well as photonic slot waveguides, offer possible solutions especially for the short range communication found in on-chip interconnects.

Plasmonic Waveguides

Plasmonic waveguides are based on the surface plasmon polariton (SPP) phenomenon, which occurs at the interface between a metal (or a heavily doped semiconductor) and a dielectric material. When coupling occurs between a photon incident on the interface and a free electron on the metal side, an oscillation occurs. Such oscillation is called SPP and can be confined in dimensions much smaller than the diffraction limit. However, the propagation losses of plasmonic waveguides are known to be very high due to the dissipation of SPP into the metal side. The propagation length of highly confined SPPs is so short that they cannot typically propagate more than ~1 cm. Although it was found that the energy per bit grew exponentially with the plasmonic interconnect length, it was also shown that plasmonic waveguides provided less crosstalk compared to the conventional interconnects [108]. Lengths beyond 100 µm for the plasmonic waveguide were found to be too lossy to be acceptable [109].

Slot Waveguide

A recently proposed waveguide that can be exploited to greatly minimize the silicon waveguide’s dimensions is the slot waveguide. Almeida et al. [110] proposed in 2004 the slot waveguide, which depends on another mechanism for guiding the light based on a discontinuity in the electric field at the interface between a region with a high index of refraction and another one with a low refractive index. Due to this discontinuity, the electric field would have a much higher intensity in the lower index region. Such a higher intensity would not decay if the low index region has a width that is approximately equal to or less than the decay length of the electric field. Slot waveguides can guide light in lower index regions as small as 50 nm; hence, it has the potential to be used in integrating optical interconnects into VLSI circuits [110]. The slot waveguide was proposed by Zhu et al. as a possible solution for the dimensions mismatch problem mentioned earlier [101].
The slot waveguide, proposed in 2004 [110], demonstrated that light can be confined in a 50 nm wide low index region while having a 20 times higher intensity compared to the conventional rectangular SiO2 waveguide. Using a slot waveguide to implement a ring resonator and a directional coupler was also demonstrated [111], which indicates that the slot waveguide can be successfully implemented in highly integrated photonics circuits.
Many attempts to extend the transmission range of the slot waveguide were carried out. For example, Xiang et al. [112] tried to combine the effect of electric field discontinuity at the low index region with the effect of a long-range surface plasmon polariton (SPP) wave [113] at the metal–low index interface. The result was a guided light mode that possessed a very long propagation range reaching 14.55 mm. The confinement of the light wave inside the low index region was also subwavelength confinement. The existence of silver strips, however, rendered the device incompatible with the CMOS fabrication process.
A slot waveguide with 1.5 dB/cm propagation loss at a 1.55 µm communication wavelength and 650 nm confinement of the electric field was proposed by Li et al. [114]. The material used was Indium gallium arsenide phosphide (InGaAsP), and it was Indium phosphide (InP) that showed that the slot waveguide fabricated from III–V semiconductor materials typically used in photonic integrated circuits (PICs) can have a low propagation loss.
The effective transmission over sharp bends in typical TIR-based optical interconnects, in general, poses a challenge since the light radiates outside the high index region at the sharp bend. Anderson et al. [63] investigated the preservation of the confinement within a low index region in a slot waveguide with sharp bends, as shown in Figure 6. The transmission efficiency from the bent sections in a slot interconnect network to straight sections can be affected and need to be studied. Ishizaka et al. investigated and proposed a solution to enhance the transmission efficiency from 33.8% to 84.2%, from bent sections to a straight section in a slot-based optical interconnect [115].
The investigation of the transmission effectiveness in a silicon slot waveguide with a 90-degree bend was conducted, and the recovery of such effectiveness from 55% to 95% was realized using simulation by increasing the excess free carriers in the silicon. The higher excess carriers dynamically convert the slot mode to the plasmonic mode [116].
The actual fabrication of the slot waveguide with 90-degree bends was investigated by Zhu et al. [101], showing measured bending losses of ~0.6–1.0 dB.

2.3. Modulators

As introduced earlier, there is a critical need for a higher bandwidth, lower power consumption, and lower thermal losses in the electronic circuit interconnects. While integrating the optical interconnects into electronic circuits might pose as the most likely direction to address such needs, optical modulators would play the critical part of converting the signal from electrical to optical and vice versa. Modulation can be performed either in a direct manner, whereby light is emitted from the laser light source only on a need basis by a trigger mechanism, or through an external manner whereby the laser light source continuously emits light while the modulator modulates the light wave characteristics based on the data to be encoded into the signal [117]. The external light modulation technique is found to provide better reliability, a higher speed, lower cost, and power budget [118].
Optical modulators can be classified as electro-absorption modulators, electro-refractive modulators, or thermo-optic modulators. In electro-refractive modulators, an electric field is applied to change the refractive index. In the case of electro-absorption modulators, the absorption coefficient is the characteristic that is undergoing change in the material due to the applied electric field, hence altering the material response to the incident light wave.
Electro-refractive modulators depend on the Pockels and the Kerr effects in the material to change the refractive index. The Pockels effect causes a linear change in the refractive index that corresponds to the change in the electric field. On the other hand, the change caused by the Kerr effect is proportional to the square of the electric field change [118]. A refractive modulator generally modulates the phase of the light beam by changing the refractive index, hence changing the speed or the phase velocity of the light in the material. Changing the speed would result in a phase change in the outgoing light wave leaving the modulator. Such a phase modulation can be converted to amplitude modulation using an interferometer or a directional coupler.
On the other hand, electro-absorption modulation depends on the Franz–Keldysh effect and the Quantum–Confined Stark effect (QCSE), which causes the band gap of the semiconductor material to be reduced when an electric field or a voltage is applied [118]. In the absence of an applied electric field, photons with energy lower than the semiconductor bandgap usually pass through the material, i.e., the material is transparent to them. However, once an electric field is applied and the bandgap is reduced, such photons will be absorbed causing an increase in the absorption coefficient of the semiconductor, which in return affects its refractive index due to the Kramers–Kronig relation [119].
The Pockels effect or linear electro-optic effect arises only in crystalline solids that lack inversion symmetry. Since silicon is a centrosymmetric crystal, it does not possess such an effect unless the inversion symmetry is broken [120]. Additionally, the Kerr effect, as well as the Franz–Keldysh effect, were found to be minimal in silicon, which limits the potential of electro-refraction and electro-absorption modulation using silicon in general [118,121]. On the other hand, a modulation based on the thermo-optic effect as well as the plasma dispersion effect can be successfully implemented using silicon.
Silicon has a large thermo-optic coefficient of Δ n Δ T = 1.86 × 10 4   1 / K [122]. This coefficient quantifies the expected change in the silicon refractive index due to a change in the temperature. Assuming the temperature is changed in a controllable manner and locally contained in the silicon waveguide, the experimental results showed that a 7 °C temperature change in a 500 µm long silicon device would result in a 180° phase shift and a change in a refractive index of approximately 1.3 × 10−3 [122].
The plasma dispersion effect changes both the real and imaginary parts of the refractive index by changing the free carrier’s density in silicon, hence affecting both the phase velocity and absorption of the material. Soref et al. [121] identified the change in the refractive index, Δ n , and absorption coefficient, Δ α , based on the density of the free carriers as follows:
Δ α = e 3 λ 0 2 4 π 2 c 3 ε 0 n ( Δ N e μ e ( m c e * ) 2 + Δ N h μ h ( m c h * ) 2 )
Δ n = e 2 λ 0 2 8 π 2 c 2 ε 0 n ( Δ N e m c e * + Δ N h m c h * )
where e is the electron charge, λ 0 is the free space wavelength, c is the speed of light, ε 0 is the permittivity of free space, n is the index of the unperturbed material, Δ N e / Δ N h is the change in electron/hole carrier density, m c e * / m c h * is the conductivity effective mass of electrons/holes, and μ e / μ h is the electron/hole mobility. Although the refractive index change of silicon due to temperature change is comparable in magnitude to the refractive index change due to the plasma dispersion effect in silicon, the thermo-optic effect is much slower. Moreover, thermo-optic modulation can suffer from a bandwidth shift if the modulator is placed near thermal emissive electronic components, such as the CPU for example [118]. Several techniques have been proposed to alleviate this problem, such as using temperature-controlled heaters to negate the thermal effects of nearby components [123], or by placing other materials adjacent to silicon with thermo-optic coefficient that negates that of silicon [124,125]. Nonetheless, the latter approach will break the CMOS-compatibility. Hence, modulation based on plasma dispersion is preferred when using silicon.
Modulation based on plasma dispersion is however causing a change in both the phase shift and power of the light wave due to the fact that it affects both the real and imaginary parts of the refractive index. Additionally, the effect of the excess charge on the material refractive index is wavelength-dependent; therefore, designing a plasma dispersion-based modulator to meet certain operating conditions is less straightforward compared to the other modulation approaches in general.

2.3.1. Modulators Performance Metrics

Several performance metrics for modulators are jointly used to assess and compare their performance. The extinction ratio, energy per bit, footprint, modulation speed, optical bandwidth, insertion loss, and CMOS-compatibility are the main performance metrics used for electro-optic modulators in general. The extinction ratio represents the ratio between the on and off state of the modulator and is usually expressed in dB. It is considered as a helpful metric for judging the device’s immunity against noise. The optical or modulation bandwidth is the frequency range beyond which the optical power drops below 50%. The full width at half maximum (FWHM) is a common way to express such bandwidth. FWHM is the line width in nm or THz and represents the extent of the peak at 50% of its maximum power. The insertion loss represents the losses introduced into the overall system due to the insertion of the modulator in question into the system. The energy per bit is strongly dependent on the modulation speed and serves as an indication of the energy consumption of the modulator and its effect on the overall energy budget of the circuit into which the modulator is inserted. The modulation speed versus the energy per bit for various modulation approaches is shown below in Figure 7.
The thermo-optic modulation is the slowest and has the highest energy consumption, compared to other modulation techniques. Plasma dispersion modulation has a slightly higher energy budget and a comparable modulation speed compared to electro-refractive modulation. However, the energy consumption gap is higher when comparing the plasma dispersion modulation to the electro-absorption modulation and the plasmonic-based modulation techniques.

2.3.2. Plasma Dispersion-Based Modulator Configurations

Different configurations for varying the carrier density in silicon to achieve high-speed modulation were extensively explored in the literature. Essentially, they were categorized as either carrier injection, carrier accumulation, or carrier depletion modulators (Figure 8). For the carrier injection modulation, much research was performed in such a category [121,126,127,128,129,130,131,132,133,134,135,136,137,138,139,140,141,142,143,144,145,146]. One of the early works in this area that serves as an adequate case study of carrier injection-based modulation was conducted by Xu et al. [147]. The device schematic is shown in Figure 9.
Many novel modulators that are based on carrier injection mechanism have been proposed [121,126,127,128,129,130,131,132,133,134,135,136,137,138,139,140,141,142,143,144,145,146,149]. However, a drawback in carrier injection-based modulators, in general, is the dependence of the modulation speed on the free carrier’s life time, which imposes a limit on the modulation speed and motivates the researchers to investigate other configurations of plasma dispersion modulation, such as carrier accumulation and carrier depletion.
Research by Intel in 2004 sparked the use of carrier accumulation mechanisms in building plasma dispersion-based modulators [150]. In such research, a metal-oxide (MOS) capacitor was structured by placing a metal oxide sandwiched between p-poly-Si and an n-Si layers. The optical signal was confined in a rib structure formed by a variation in the oxide layer thickness, as shown in Figure 10. When applying a positive drive voltage, VD, to the p-type silicon layer and grounding the n-type layer, the carriers were accumulated on both sides of the thin gate oxide. Such an accumulation of charges caused a change in the charge density, which was related to the drive voltage by (6):
Δ N e = Δ N h = ε 0 ε r e t o x t ( V D V F B )
where V F B is the flat band voltage of the MOS capacitor. ε 0 and ε r are the vacuum permittivity and the low-frequency relative permittivity of the oxide, respectively. t o x and t are the gate oxide thickness and the effective charge layer thickness, respectively.
This device was able to reach a modulation frequency of more than 1 GHz in 2004 when all other carrier injection-based modulators, at that time, had a frequency in the range of tens of MHz. Generally, the modulation speed is higher in carrier accumulation-based modulators in comparison to other plasma dispersion modulation techniques, because the slow carrier generation and recombination process is not involved in carrier accumulation.
A higher modulation speed was later realized by subsequent research through further optimization [151,152,153,154]. A 3 dB bandwidth carrier accumulation-based modulator with a modulation frequency larger than 100 GHz was demonstrated [153].
The carrier depletion-based modulators not only offer the same speed advantage provided by the carrier accumulation modulators, but they also allow for easier fabrication due to the need for less complicated designs to achieve carrier depletion [155]. Many designs were proposed for the carrier depletion modulators. All of them can be categorized as being based on either the vertical PN junction, horizontal PN junction, or interleaved PN junction [155]. Carrier depletion modulators with 30, 40, and 50 Gbps were realized, yet some of the designs were lacking an adequate extinction ratio to be immune to possible signal noise [156,157,158,159,160,161,162,163,164]. A higher extinction ratio of 7.5 dB with a modulation speed of 50 Gbps was realized in 2014.
From the photonic device perspective, the electro-optic modulator requires either a resonator or a Mach–Zehnder interferometer (MZI) to convert refractive index perturbation into amplitude modulation. Due to the large device footprint of MZI devices, they are not suitable for on-chip communication applications. Additionally, resonator-based modulators showed a more efficient energy consumption per bit [118]. Several approaches were utilized for enhancing the light–matter interaction in micro-resonators and reaching an ultra-compact device footprint, while maintaining high speed and low energy consumption. Namely, micro-disks [154,165,166,167] , photonic crystal (PC) nanocavities [168,169,170,171,172], and micro rings [147,173,174,175,176,177].
Table 3 shows the Q-factor and energy per bit for resonator-based modulators reported in the literature. Only those built on the silicon photonic platform and derived by free carriers are shown. A general overview of the other types of modulators known to be incompatible with the CMOS fabrication process can be found in [178].

2.4. Photodetectors

Converting data back to the electrical domain was performed through photodetectors. Due to the silicon’s bandgap, the light at common telecom wavelengths, described roughly by the extended short wavelength infrared (e-SWIR) from 1 µm to 2.5 µm, did not have enough energy to generate an electrical current in the silicon. Again, we can observe a justification for integrating new materials, commonly III–V or germanium [183,184,185,186,187,188,189,190,191,192]. This section is organized as follows: first, we review the important metrics of a photodetector; then, we briefly explain the mechanism behind the primitive types of photodetectors, namely, p-i-n and avalanche, giving examples from literature for each type; and finally, we briefly discuss the recent advances and possible promising mechanisms.

2.4.1. Performance Metrics

Responsivity

This parameter is very critical for the power consumption of the whole interconnect system. Quantum efficiency, η , is a measure of how much current is produced for each photon. A quantum efficiency of 100% means that each photon resulted in an electron that contributes to the generated current. Obviously, many factors can cause a low quantum efficiency, such as the recombination of the generated electron–hole pairs. On the other hand, as we shall soon see, there are ways to obtain a quantum efficiency exceeding 100%.
Responsivity, R, is a more common measure of the conversion efficiency from the optical domain to the electrical domain as given in (7)
R = I P
where I is the electrical current and P is the optical power. It is related to quantum efficiency by (8)
R = η q λ h c
where q is the charge of an electron, λ is the wavelength, h is Plank’s constant, and c is the speed of light.
Thus, we can also think of responsivity as the sensitivity. The higher the quantum efficiency, the more optical losses throughout the system can be acceptable without having to increase the laser power. A photodetector that is not sensitive will require a high-power laser and impose strict insertion loss requirements for the remaining components.

Speed

Two factors are at play when considering the speed of a photodetector. First is the transit time: the time it takes for generated charge carriers to reach the contact and contribute to current. Therefore, it is the distance covered (geometry of the device) and the speed of charge carriers that determine the transit time. Mobility, and thus material choice plays an important role. The mobility of electrons in Germanium, for example, is more than double that in the silicon. The second is the RC response. The photodetector can be modeled as a current source ( I p d ) with corresponding resistance R p d and capacitance C p d , along with load impedance R l o a d , as shown in Figure 11. Several design factors affect the equivalent circuit parameters. For example, the capacitance C p d is affected by the depletion region width and the reverse bias voltage of photodiodes. The capacitance is inversely proportional to the depletion region width. One of the common approaches to reduce C p d is to introduce an intrinsic layer to increase the depletion region width, which would cause a reduction in the C p d value, resulting in increased speed of the photodiode. Additionally, the larger volume available for a photon to electron-hole conversion, in this case, would allow for higher quantum efficiency realization. The series resistance R p d is affected by the photodiode contacts and the type of the semiconductor material itself. A detailed account of the photodetectors’ equivalent circuits can be found in [193].

Dark Current

The dark current refers to a current that is generated without any light. Traditionally, this has been somewhat ignored as the electrical amplifier’s noise has dominated. However, there are now low-power electronics that are able to work with the low current generated by photodetectors and amplifiers are no longer necessary. It is thus becoming more important to discuss dark current as it contributes to noise.
In a photodetector with area, A , dark current, I d a r k , is composed of bulk generated current, J b u l k , and surface generated current, J s u r f , as given by (9):
I d a r k = J b u l k A + J s u r f 4 π A
A main contributor to the bulk generated current is lattice defects, and it increases exponentially with increased bias voltage. The surface generated current is primarily due to dangling bonds, which are more difficult to take care of in Germanium than in silicon. Thus, the dark current increases with an increased bias voltage and with an increased photodetector area. In addition to the dark current, the shot noise, I n , is also related to the bandwidth, B W , of the detector through (10), so that to increase the speed of a detector without degrading the signal-to-noise ratio, the dark current must be reduced.
I n = 2 q I d a r k B W
A common figure of merit used to evaluate photodetectors is the quantum efficiency-bandwidth product ( η -BW). Table 4 compares the performance metrics of recent photodetectors that can be used in optical interconnect systems.

2.4.2. Types of Photodetectors

A broad classification of infrared photodetectors divides them into thermal detectors and photon detectors. Thermal detectors depend on their operation of measuring changes in the temperature as an indication of the incident electromagnetic power. Although they can operate at room temperature, they are generally not suitable for high-speed applications. Photon detectors, on the other hand, depend on a change in the electrical properties caused by the absorption of photons. There are two types of photon detectors: photovoltaic detectors (PVs) and photoconductive (PC) detectors. While photoconductive detectors are based on the change in material conductivity due to the absorbed photons, PV detectors are based on the production of voltage across terminals due to absorbed photons and usually have a higher signal-to-noise ratio. As the detection of photons in PV detectors is directly dependent on the energy bandgap in comparison to the incident photon energy, different mechanisms were investigated in the literature to engineer a bandgap suitable for absorbing photons at the wavelength of interest. To illustrate the concept, a review of two primitive types of photodetectors is explained below (namely, the PIN photodetector and the avalanche photodetector).

PIN

The operational principle behind a p-type/intrinsic/n-type photodetector starts with light being absorbed at the intrinsic region, generating electron–hole pairs. It is thus important that the intrinsic region is made of a material with a bandgap that enables this process at the wavelength of operation. Crystalline silicon has a bandgap far larger than is required for absorption at telecom wavelengths (1.28 µm to 1.55 µm). As we will soon see, a common material of choice is Germanium. The p-type and n-type regions are required for the charge collection. It is imperative that the active region (in which photons are absorbed) is intrinsic as the dopants would cause the generated carriers to recombine.
The fabrication process described in [186] is typical for PIN germanium photodetectors. Using a 400 nm device layer on an SOI wafer, the n-type Si device layer is thinned down to 55 nm, on top of which a 340 nm thick layer of intrinsic Ge is selectively grown by CVD, followed by 90 nm p-type Ge and annealing. One contact is on the bottom n-type Si and the other is on the top p-type Ge. The electric field is applied vertically, and this type of photodetector is also known as the vertical PIN.
Figure 12 shows a lateral PIN, where the electric field is applied horizontally. It is a silicon-contacted Ge PIN photodetector in which a single intrinsic germanium layer not requiring a doped Ge layer is used, making the device more fabrication-friendly and streamlined with CMOS processing [194]. The metal contacts are on n-Si and p-Si silicon. By using a 160 nm thin Ge layer, the device had a low capacitance and demonstrated a high bandwidth of 67 GHz operating at −1 V. By moving the contact to the silicon, all the Ge surface was available to detect light, enabling a high responsivity of 0.93 A/W.
Mehta et al. proposed a polysilicon-based photodetector without any other material, such as Germanium [195]. The photodetector was based on creating defect states that enabled absorption even in the near-infrared range (i.e., especially at 1550 nm). The photodetector had a ring structure to enable efficient detection; otherwise, a very long structure would have to be used. The quantum efficiency was around 20% with a bandwidth of a few Gigahertz. Such a design enabled a completely CMOS-compatible photonic integrated circuit.

Avalanche

In an avalanche photodetector (APD), the electron-hole pairs generated cause additional generation of the electron-hole pairs through what is known as an avalanche process. Thus, the quantum efficiency can exceed 100%. The gain of an APD is thus quite important. APDs can become quite noisy because of the gain process and there are interesting ways to overcome the dark current.
One approach is to build upon a PIN photodetector, such as a vertical PIN photodetector with an avalanche amplification [198]. Here, a low-voltage germanium waveguide avalanche photodetector (APD) with a gain × bandwidth product above 100 GHz was demonstrated. The device was fabricated on IMEC fully integrated silicon photonics platform.
The approach taken by Assefa et al. was based on metal/semiconductor/metal, where the electric field was applied laterally [197]. A germanium layer was used for the detection while a separate silicon layer was used for the amplification. Instead of the epitaxial growth of the Ge layer, which is normally polycrystalline, Assefa et al. opted for rapid melting growth, allowing a defect-free single-crystal Ge layer that was, only 140 nm as shown in Figure 12b. 70% noise reduction was fulfilled by applying a strong non-uniform electric field.

2.4.3. Recent Advances

Generally, compounds, such as InGaAs and GaInAsSb, produce a suitable bandgap for photo detection; however, lattice mismatch causes a significant increase in the dark current. A Hg1−xCdxTe alloy can mitigate this problem to a certain degree, since HgTe and CdTe have a very close lattice constant. However, detectors made from HgCdTe suffer from poor material uniformity, low yield, and surface instability [202,203]. Quantum structures, such as the type II super lattice (T2SL) and type II multiple quantum wells (MQWs) with band alignment, possess a narrower effective bandgap than their individual constituent materials, offering an opportunity for infrared photo detection with a lower dark current compared to HgCdTe-based detectors [204]. Some PC detectors, such as quantum well infrared photodetectors (QWIPs), in which the device resistance changes under illumination, are considered as better alternatives to HgCdTe since they have better material uniformity [205]. A detailed review of the recent advances in photodetector research for the infrared spectrum was given by Chen et al. [206]. Recent interesting research stands out for being able to achieve a ultra-high bandwidth of 265 GHz with a low dark current of 100 nA and a high responsivity of 0.3 A/W, hence outperforming most sophisticated InP photodetectors in terms of high-speed performance, while being made from Ge and silicon, making it more suitable for integration with electronics circuits [196].

3. Complete Interconnect Systems

While a plethora of components has been successfully demonstrated, the real challenge is integrating the different components together to arrive at a complete interconnect system. The first serious suggestions of an optical interconnect to electronic chips discussed interfacing to and from CMOS chips, but shied away from the actual integration [207]. CMOS-compatible optical interconnects were suggested a decade ago [99,208,209], and, since then, several roadmaps have been steering the research directions to make sure that future optical interconnects can be integrated with electronics chips [33,99,208]. The most recent of these roadmaps deserve to be highlighted due to their comprehensive approach to and involvement of many key players in academia and industry [55,210]. One of the key requirements was low power consumption, and Miller recently argued that we should target 10 fJ/bit [211]. The objective of this section is to review the progress and compare it with these roadmaps, summarized in Figure 13. In addition, we see how rearranging interconnects from a systems-level point of view using the current devices can be very beneficial.
As we demonstrated at length, there is a plethora of device designs for the different components of the interconnect system. However, few solutions exist that actually bring all these components together to demonstrate a working fully integrated system. The industry has a strong role here, with some companies focusing on silicon photonics, such as Luxtera and Aurrion, and other large players being involved, such as Intel, Sun Microsystems, and later Oracle, IBM, and HP. We see that in most cases, some forms of partnership is often important, whether companies cooperate with each other or collaborate with academia.
Any review of CMOS-compatible optical interconnects must give credit to Luxtera’s efforts. While they demonstrated a system capable of 10 Gbps, they laid out their views on reaching 100 Gbps and 1 Tbps, and they even discussed the potential of reaching speeds of 10 Tbps [71].
The group at the University of California Santa Barbara spearheaded the hybrid integration research efforts, especially with regard to integrating III–V lasers on silicon; in fact, some of the work on optical interconnects by Intel was conducted in collaboration with UCSB [70,86,93]. The research finally culminated in the development of a whopping 2.56 Tbps optical interconnect, although the experimental demonstration used only one channel (40 Gbps) [212]. Intel started working on silicon photonics components over a decade ago [76,77,86,150,157,158,213], and when they announced their 50 Gbps system in 2010 [214,215], it was a real attention grabber.
Sun Microsystems/Oracle also published their optical interconnect components [69], followed by complete systems reaching speeds of 80 Gbps [216,217,218]. The approach made use of a 40 nm CMOS for the electrical components and 130 nm SOI for the optical devices, in addition to the Ge photodiode, giving detailed accounts of feasible integration of the dies. This approach was extremely efficient, being the first interconnect system to consume energy less than 1 pJ/bit (ring tuning and laser power excluded).
IBM and Aurrion joined forces to demonstrate a 30 Gbps optical interconnect system with a power efficiency of 3 pJ/bit (excluding laser power) [219]. The optical components were fabricated using III–V material, integrated with a low power 32 nm CMOS electronics driving circuitry.
A strong collaboration between the research groups in MIT, the University of Colorado, and the University of California at Berkeley is driving the efforts for zero-change integration, and we already discussed their photodetectors [195] and modulators earlier on in the paper [123]. Sun et al. led a fantastic demonstration of a microprocessor communicating with memory optically [70], as shown in Figure 14. They selected to work with a 1180 nm wavelength instead of the standard 1300 nm and 1550 nm wavelengths, enabling working with a SiGe photodetector. SiGe was used in advanced the CMOS processes.
While all the previously mentioned interconnect systems used Ge for photodetectors, which are still considered as a custom platform, this group used their Ge-free photodetector that was explained earlier using a p-i-n resonator structure that relied on the defect states [195]. This lead to several zero-change electronics–photonics devices over the past few years [48]. This approach resulted in an optical link of 5 Gbps speed with a 15 pJ/bit efficiency [49]. While this was somewhat a slower and less efficient optical interconnect system than the previously discussed interconnect, the zero-change integration made adoption side-by-side electronics much more realistic. Most importantly, the process in [49] uses bulk silicon wafers (not SOI), making it much more economically viable than the interconnects that rely on SOI wafers, such as the zero-change interconnect in [70,221], as well as all the hybrid integration interconnects.
More recently, Atabaki et al. integrated photonics with silicon nanoelectronics using 65 nm CMOS technology (not SOI), and demonstrated optical transceivers capable of 10 Gbps per channel [220,222].

4. Conclusions

In conclusion, the metal interconnects in today’s integrated circuit technology are facing challenges in meeting future target performance with further downscaling in dimensions, and the adaptation of the many-core approach to maintain Moore’s law. The future trend of many-cores in particular has most of its power budget in the design consumed in metal interconnects to facilitate the communication among different cores, despite using state-of-the-art interconnect optimization and power management techniques. The bandwidth requirement for many-core designs is expected to reach 100 Gbps, causing metal interconnects to be a serious bottleneck in the future of VLSI chips [1,2,3,5,6]. Optical interconnects seem to be a viable alternative that can come to the rescue and substitute metal interconnects, achieving future target bandwidth and power consumption limits. On-chip light sources in a typical optical interconnect system are preferred over external sources, due to the higher coupling losses introduced by external sources and the post-fabrication processing needed by the butt coupler used to couple light from external sources to a wideband optical interconnect systems. Silicon-based on-chip laser sources are not typically available because silicon is an indirect band gap semiconductor. The heterogeneous integration with III–V on-chip sources is promising, yet, to date, it is not possible without applying some changes to the currently used fabrication flow to build integrated silicon photonics circuits. Using the quantum confinement effect, silicon can emit light. Many research articles investigated building silicon lasers from 0D and 1D structures to emit light at cryogenic temperature in order to mitigate the heat produced by the phonons generated in the same process. A nanocrystal-based silicon laser was realized at room temperature, based on the amplification of spontaneous emission using an external light source. Raman scattering was also utilized to produce a lasing of 50 mW power and efficiency of around 28%. Laser sources based on III–V semiconductors have superior performance compared to silicon due to its direct bandgap. A structure composed of InAs quantum dots grown on silicon was able to generate a laser in a temperature of up to 110 °C, thus they are compatible with the harsh environment often found in electronic ICs. The realized efficiency was 37% and output power was above 176 mW, which greatly outperformed the Si-based lasers. The heterogeneous integration of III–V with silicon was found to produce superior results compared to using III–V material alone, since the free carrier generated in III–V due to spontaneous emission was swept away to the Si layer without attenuating the gain. The line width of the laser source was of particular importance for wavelength division multiplexing. Recent research shows that a 1 Tbps hybrid silicon photonic transmitter can be realized using 25 laser sources. In regard to the optical waveguide, while it offers a better propagation delay than its electrical counterpart, the required dimensions for a typical optical waveguide, which guide the light based on the total internal reflection inside the high index core, is considerably larger than its electrical counterpart due to the diffraction limit and light wavelength being larger than 1 micron. Additionally, due to the large size of the guided light mode footprint, a relatively large separation was required among adjacent waveguides. A single-mode silicon strip waveguide, 220 nm in height and widths of 400 nm to 500 nm with losses of around 0.1 to 0.3 dB/mm, was realized. Lower losses can be attained as well using silicon nitride. Special attention is given to the slot waveguide that can confine and guide visible and near-IR light in a sub100 nm low index region surrounded by higher index regions. To increase the efficiency of transmission over 90-degree bends in silicon slot waveguides, from 55% to 95%, a conversion of the dielectric slot mode into a plasmonic slot mode is realized through free carrier generation in the silicon region of the waveguide. For modulators, external modulators, which depend on a constant power laser source continuously generating light that is being modulated using a modulator component, have a higher speed, lower cost, and lower power consumption compared to the direct modulators. Since, at the standard communication wavelengths, silicon lacks the Pockels and Kerr effects required for electro-refraction modulation, the electro-refraction modulation technique cannot be exploited in silicon. Although the thermo-optic effect was high in silicon and can be utilized for modulation, it provided a low modulation speed. Silicon also lacks electro-absorption effects, such as Franz–Keldysh, and quantum confined stark effects (QCSE). Many researchers tried to create a silicon modulator by inducing free carriers through doping, which would affect both the refractive index and the absorption of the material; however, the speed of carrier injection-based silicon modulators were generally limited compared to the other types of modulators. This was because of the dependence on the free-carrier lifetime. The carrier accumulation mechanism was utilized in silicon to overcome the speed limitation of carrier injection silicon modulators. However, the fabrication was much more difficult for the carrier accumulation-based silicon modulators compared to the carrier injection modulators. A promising approach is using carrier-depletion in silicon modulators, which is easy to fabricate and can offer high-speed modulation. A speed higher than 100 GHz was demonstrated by engineering the intrinsic resistance and capacitance of an optical modulator that could be built to be CMOS-compatible [152]. Resonator-based Si modulators were found in the literature to be more energy-efficient while maintaining a much smaller footprint than the MZI-based silicon modulators. Using silicon for photodetectors posed a problem due to its transmission capability in the near- and mid-IR spectrums, which made it more suitable for building waveguides for transmission rather than photodetectors. The III–V materials or Ge could be effectively used to build suitable photodetectors. Additionally, adding Ge or III–V defects to silicon altered the optical response in the desired photo-detection range and enabled building silicon photodetectors with an acceptable performance [188,189,190,191]. Responsivity, speed, and the dark current were critical performance metrics for the photodetectors that were discussed and used in this article to compare various on-chip photodetectors, as shown in Table 4. The p-i-n photodetectors, for which germanium was used as the intrinsic layer for its low bandgap energy, were discussed. The examples of vertical and lateral variations of germanium-based p-i-n photodetectors were shown. A breakthrough in silicon-based photodetectors occurred in 2014, [198] when a photo-detector made from poly-silicon only contained defect states that caused absorption even in the near-IR range. The quantum efficiency was around 20% and a bandwidth of few GHz was realized. With that realization, it was possible to build a completely CMOS-compatible optical interconnect system with zero-change in the current CMOS fabrication flow. The efforts to build p-i-p photodetectors that utilized the avalanche effect to achieve a quantum efficiency larger than 100% were also surveyed. Recent interesting research stands out for being able to achieve an ultra-high bandwidth of 265 GHz with a low dark current (100 nA) and a high responsivity of 0.3 A/W, hence outperforming the most sophisticated InP photodetectors in terms of its high-speed performance, while being made from Ge and silicon, making it more suitable for the integration with electronics circuits [196]. A summary of the complete interconnect systems built by academia and the industry was presented and showed speeds ranging from 20 Gbps to 80 Gbps and energy consumption from 50 pJ/bit down to 1 pJ/bit. Major road blockers were removed from the way towards the realization of a mass-produced optical interconnect system integrated into everyday electronics. By focusing on the design aspects of a complete optical interconnect, a focus that is similar to that being received by individual optical components in current research, such a realization would be reached sooner.

Author Contributions

Conceptualization, H.M. and M.E.; methodology, H.M. and M.E.; software, H.M. and M.E.; validation, H.M., M.E. and M.A.S.; formal analysis, H.M. and M.E.; investigation, H.M. and M.E.; resources, H.M. and M.E.; data curation, H.M. and M.E.; writing—original draft preparation, H.M. and M.E.; writing—review and editing, H.M. and M.E.; visualization, H.M. and M.E.; supervision, M.A.S. and Y.I.; project administration, M.A.S. and Y.I.; funding acquisition, Y.I. and M.A.S. All authors have read and agreed to the published version of the manuscript.

Funding

The article publication cost (APC) was funded by The American University in Cairo.

Informed Consent Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. The National Technology Roadmap for Semiconductors; Semiconductor Industry Association: Ann Arbor, MA, USA, 1997.
  2. Warnock, J. Circuit design challenges at the 14 nm technology node. In Proceedings of the 48th Design Automation Conference, San Diego, CA, USA, 5 June 2011. [Google Scholar]
  3. Ho, R.; Mai, K.; Horowitz, M. Efficient on-chip global interconnects. In Proceedings of the 2003 Symposium on VLSI Circuits. Digest of Technical Papers, Kyoto, Japan, 12–14 June 2003; pp. 271–274. [Google Scholar]
  4. Ho, R.; Ken, M.; Horowitz, M. Managing wire scaling: A circuit perspective. In Proceedings of the IEEE 2003 International Interconnect Technology Conference, Burlingame, CA, USA, 4 June 2003; pp. 177–179. [Google Scholar]
  5. John, D.O. Research Challenges for On-Chip Interconnection Networks. 2007, pp. 96–108. Available online: http://doi.ieeecomputersociety.org/10.1109/MM.2007.91 (accessed on 10 December 2021).
  6. Ho, R.; Mai, K.W.; Horowitz, M.A. The future of wires. In Proceedings of the IEEE; IEEE: Piscataway, NJ, USA, 2001; Volume 89, pp. 490–504. [Google Scholar]
  7. Ismail, Y.I.; Friedman, E.G.; Neves, J.L. Dynamic and short-circuit power of CMOS gates driving lossless transmission lines. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications. In Proceedings of the 8th Great Lakes Symposium on VLSI, Lafayette, LA, USA, 21 February 1998; Volume 46, pp. 950–961. [Google Scholar]
  8. Ismail, Y.I.; Friedman, E.G. Sensitivity of interconnect delay to on-chip inductance. In Proceedings of the 2000 IEEE International Symposium on Circuits and Systems, Emerging Technologies for the 21st Century. Proceedings (IEEE Cat. No. 00CH36353), Geneva, Switzerland, 28–31 May 2000; Volume 3, pp. 403–406. [Google Scholar]
  9. Ghoneima, M.; Ismail, Y. Delayed line bus scheme: A low-power bus scheme for coupled on-chip buses. In Proceedings of the 2004 International Symposium on Low Power Electronics and Design, Newport Beach, CA, USA, 9 August 2004. [Google Scholar]
  10. Ismail, Y.I.; Friedman, E.G. Repeater insertion in RLC lines for minimum propagation delay. In Proceedings of the 1999 IEEE International Symposium on Circuits and Systems (ISCAS), Orlando, FL, USA, 30 May–2 June 1999; Volume 6, pp. 404–407. [Google Scholar]
  11. Ghoneima, M.; Ismail, Y.; Khellah, M.; De, V. Variation-Tolerant and Low-Power Source-Synchronous Multicycle On-Chip Interconnect Scheme. VLSI Des. 2007, 2007. [Google Scholar] [CrossRef] [Green Version]
  12. Miller, D.A. Optical interconnects to electronic chips. Appl. Opt. 2010, 49, F59–F70. [Google Scholar] [CrossRef] [PubMed]
  13. Wilson, L. International Technology Roadmap for Semiconductors (ITRS); Semiconductor Industry Association: Ann Arbor, MA, USA, 2013. [Google Scholar]
  14. Ghoneima, M.; Ismail, Y.; Khellah, M.; De, V. SSMCB: Low-Power Variation-Tolerant Source-Synchronous Multicycle Bus. In IEEE Transactions on Circuits and Systems I: Regular Papers; IEEE: Piscataway, NJ, USA, 2009; Volume 56, pp. 384–394. [Google Scholar]
  15. Ghoneima, M.; Ismail, Y.; Khellah, M.; Vivek, D. Reducing the data switching activity on serial link buses. In Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED’06), San Jose, CA, USA, 27–29 March 2006; pp. 6–432. [Google Scholar]
  16. Ghoneima, M.; Ismail, Y. Utilizing the effect of relative delay on energy dissipation in low-power on-chip buses. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2004, 12, 1348–1359. [Google Scholar] [CrossRef] [Green Version]
  17. Bowman, K.; Tschanz, J.; Khellah, M.; Ghoneima, M.; Ismail, Y.; De, V. Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. In Proceedings of the 2006 International Symposium on Low Power Electronics and Design, Tegernsee, Germany, 4–6 October 2006. [Google Scholar]
  18. Ghoneima, M.; Ismail, Y. Effect of relative delay on the dissipated energy in coupled interconnects. In Proceedings of the 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No. 04CH37512), Vancouver, BC, Canada, 23–26 May 2004. [Google Scholar]
  19. Ghoneima, M.; Ismail, Y. Optimum positioning of interleaved repeaters in bidirectional buses. IEEE Trans. Comput. -Aided Des. Integr. Circuits Syst. 2004, 24, 461–469. [Google Scholar] [CrossRef] [Green Version]
  20. Ismail, Y.; Friedman, E.; Neves, J. Repeater insertion in tree structured inductive interconnect. In 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No. 99CH37051); IEEE: Piscataway, NJ, USA, 2003; Volume 48, pp. 471–481. [Google Scholar]
  21. Ismail, Y.; Friedman, E. Optimum repeater insertion based on a CMOS delay model for on-chip RLC interconnect. In Proceedings of the Eleventh Annual IEEE International ASIC Conference (Cat. No. 98TH8372); IEEE: Piscataway, NJ, USA, 2002; pp. 369–373. [Google Scholar]
  22. Magen, N.; Kolodny, A.; Weiser, U.; Shamir, N. Interconnect-power dissipation in a microprocessor. In Proceedings of the 2004 International Workshop on System Level Interconnect Prediction, Paris, France, 14 February 2004. [Google Scholar]
  23. Ghoneima, M.; Ismail, Y.; Khellah, M.; Tschanz, J.; De, V. Formal derivation of optimal active shielding for low-power on-chip buses. IEEE Trans. Comput. Des. Integr. Circuits Syst. 2006, 25, 821–836. [Google Scholar] [CrossRef]
  24. Balasubramonian, R.; Muralimanohar, N.; Ramani, K.; Cheng, L.; Carter, J.B. Leveraging Wire Properties at the Microarchitecture Level. IEEE Micro 2006, 26, 40–52. [Google Scholar] [CrossRef]
  25. Svensson, C. Electrical interconnects revitalized. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2002, 10, 777–788. [Google Scholar] [CrossRef]
  26. Hoppner, S.; Walter, D.; Hocker, T.; Henker, S.; Hanzsche, S.; Sausner, D.; Ellguth, G.; Schlussler, J.-U.; Eisenreich, H.; Schuffny, R. An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture with Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS. IEEE J. Solid-State Circuits 2015, 50, 749–762. [Google Scholar] [CrossRef]
  27. Tadros, R.N.; Ahmed, A.H.; Ghoneima, M.; Ismail, Y. A 24 Gbps SerDes transceiver for on-chip networks using a new half-data-rate self-timed 3-level signaling scheme. In Proceedings of the 5th International Conference on Energy Aware Computing Systems & Applications; IEEE: Piscataway, NJ, USA, 2015; pp. 1–4. [Google Scholar]
  28. Tadros, R.N.; Elsayed, A.H.; Ghoneima, M.; Ismail, Y. A variation tolerant driving technique for all-digital self-timed 3-level signaling high-speed SerDes transceivers for on-chip networks. In Proceedings of the 2014 IEEE International Symposium on Circuits and Systems (ISCAS); IEEE: Piscataway, NJ, USA, 2014; pp. 1520–1523. [Google Scholar]
  29. Hussein, E.E.-D.; Safwat, S.; Ghoneima, M.; Ismail, Y. A 16 Gbps low power self-timed SerDes transceiver for multi-core communication. In Proceedings of the 2012 IEEE International Symposium on Circuits and Systems; IEEE: Piscataway, NJ, USA, 2012; pp. 1660–1663. [Google Scholar]
  30. Safwat, S.; Hussein, E.E.-D.; Ghoneima, M.; Ismail, Y. A 12 Gbps all digital low power SerDes transceiver for on-chip networking. In Proceedings of the 2011 IEEE International Symposium of Circuits and Systems (ISCAS); IEEE: Piscataway, NJ, USA, 2011; pp. 1419–1422. [Google Scholar]
  31. Hussein, E.E.-D.; Safwat, S.; Ghoneima, M.; Ismail, Y. A new signaling technique for a low power on-chip SerDes transceivers. In Proceedings of the 2010 International Conference on Energy Aware Computing; IEEE: Piscataway, NJ, USA, 2010; pp. 1–2. [Google Scholar]
  32. Ghoneima, M.; Ismail, Y.; Khellah, M.; Tschanz, J.; De, V. Serial-link bus: A low-power on-chip bus architecture. ICCAD-2005. IEEE/ACM Int. Conf. Comput. -Aided Des. 2005, 56, 2020–2032. [Google Scholar] [CrossRef]
  33. Miller, D.A.B. Device Requirements for Optical Interconnects to CMOS Silicon Chips. In Integrated Photonics Research, Silicon and Nanophotonics and Photonics in Switching; The Optical Society: Washington, DC, USA, 2010; p. PMB3. [Google Scholar]
  34. Sievers, G.; Ax, J.; Kucza, N.; Flaskamp, M.; Jungeblut, T.; Kelly, W.; Porrmann, M.; Ruckert, U. Evaluation of interconnect fabrics for an embedded MPSoC in 28 nm FD-SOI. In Proceedings of the 2015 IEEE International Symposium on Circuits and Systems (ISCAS); IEEE: Piscataway, NJ, USA, 2015; pp. 1925–1928. [Google Scholar]
  35. Ortín-Obón, M.; Suárez-Gracia, D.; Villarroya-Gaudó, M.; Izu, C.; Viñals-Yúfera, V. Analysis of network-on-chip topologies for cost-efficient chip multiprocessors. Microprocess. Microsyst. 2016, 42, 24–36. [Google Scholar] [CrossRef] [Green Version]
  36. Kumar, R.; Zyuban, V.; Tullsen, D. Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling. In Proceedings of the 32nd International Symposium on Computer Architecture (ISCA’05); IEEE: Piscataway, NJ, USA, 2005; pp. 408–419. [Google Scholar]
  37. Esmaeilzadeh, H.; Blem, E.; Amant, R.S.; Sankaralingam, K.; Burger, D. Dark silicon and the end of multicore scaling. ACM SIGARCH Comput. Arch. News 2011, 39, 365–376. [Google Scholar] [CrossRef]
  38. Geist, A. Supercomputing’s monster in the closet. IEEE Spectr. 2016, 53, 30–35. [Google Scholar] [CrossRef]
  39. Karkar, A.; Mak, T.; Tong, K.-F.; Yakovlev, A. A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores. IEEE Circuits Syst. Mag. 2016, 16, 58–72. [Google Scholar] [CrossRef] [Green Version]
  40. Carpenter, A.; Hu, J.; Xu, J.; Huang, M.; Wu, H.; Liu, P. Using Transmission Lines for Global On-Chip Communication. IEEE J. Emerg. Sel. Top. Circuits Syst. 2012, 2, 183–193. [Google Scholar] [CrossRef]
  41. Chang, M.F.; Cong, J.; Kaplan, A.; Naik, M.; Reinman, G.; Socher, E.; Tam, S.-W. CMP network-on-chip overlaid with multi-band RF-interconnect. In Proceedings of the 2008 IEEE 14th International Symposium on High Performance Computer Architecture, Institute of Electrical and Electronics Engineers (IEEE), Salt Lake City, UT, USA, 16–20 February 2008; pp. 191–202. [Google Scholar]
  42. Zhao, D.; Wang, Y.; Wu, H.; Kikkawa, T. I(Re)2-WiNoC: Exploring scalable wireless on-chip micronetworks for heterogeneous embedded many-core SoCs. Digit. Commun. Netw. 2015, 1, 45–56. [Google Scholar] [CrossRef] [Green Version]
  43. Mojjada, K.C.; Kim, D.; Eisenstadt, W.R. A 6 pJ/bit transmitter front end in 130 nm CMOS technology for wireless interconnect. In Proceedings of the 2015 IEEE 16th Annual Wireless and Microwave Technology Conference (WAMICON); IEEE: Piscataway, NJ, USA, 2015; pp. 1–3. [Google Scholar]
  44. Ito, H.; Kimura, M.; Miyashita, K.; Ishii, T.; Okada, K.; Masu, K. A Bidirectional- and Multi-Drop-Transmission-Line Interconnect for Multipoint-to-Multipoint On-Chip Communications. IEEE J. Solid-State Circuits 2008, 43, 1020–1029. [Google Scholar] [CrossRef]
  45. Coteus, P.W.; Knickerbocker, J.U.; Lam, C.H.; Vlasov, Y.A. Technologies for exascale systems. IBM J. Res. Dev. 2011, 55, 14:1–14:12. [Google Scholar] [CrossRef]
  46. Caulfield, H.J.; Dolev, S. Why future supercomputing requires optics. Nat. Photon 2010, 4, 261–263. [Google Scholar] [CrossRef]
  47. Bohnenstiehl, B.; Stillmaker, A.; Pimentel, J.; Andreas, T.; Liu, B.; Tran, A.; Adeagbo, E.; Baas, B. A 5.8 pJ/Op 115 billion ops/sec, to 1.78 trillion ops/sec 32 nm 1000-processor array. In Proceedings of the 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits); IEEE: Piscataway, NJ, USA, 2016; pp. 1–2. [Google Scholar]
  48. Popović, M.A.; Wade, M.T.; Orcutt, J.S.; Shainline, J.M.; Sun, C.; Georgas, M.; Moss, B.R.; Kumar, R.; Alloatti, L.; Pavanello, F.; et al. Monolithic silicon photonics in a sub-100 nm SOI CMOS microprocessor foundry: Progress from devices to systems. In Silicon Photonics X; SPIE: Bellingham, WA, USA, 2015; Volume 9367, p. 93670M. [Google Scholar]
  49. Sun, C.; Georgas, M.; Orcutt, J.; Moss, B.; Chen, Y.H.; Shainline, J.; Wade, M.; Mehta, K.; Nammari, K.; Timurdogan, E.; et al. A monolithically-integrated chip-to-chip optical link in bulk CMOS. Solid-State Circuits IEEE J. 2015, 50, 828–844. [Google Scholar] [CrossRef]
  50. Heterogeneous Integration Roadmap-Chapter 9. Integrated Photonics; IEEE: Piscataway, NJ, USA, 2021.
  51. Xu, H.; Dai, D.; Shi, Y. Silicon Integrated Nanophotonic Devices for On-Chip Multi-Mode Interconnects. Appl. Sci. 2020, 10, 6365. [Google Scholar] [CrossRef]
  52. Dai, D.; Bowers, J.E. Silicon-based on-chip multiplexing technologies and devices for Peta-bit optical interconnects. Nanophotonics 2013, 3, 283–311. [Google Scholar] [CrossRef]
  53. Daoxin, D.; Zhuoning, Z.; Weike, Z.; Yiwei, X.; Dajian, L. Silicon photonic devices for wavelength/mode-division-multiplexing. Proc. SPIE 2021, 11775, 117750E. [Google Scholar]
  54. Chrostowski, L.; Hochberg, M. Silicon Photonics Design: From Devices to Systems; Cambridge University Press: Cambridge, UK, 2015. [Google Scholar]
  55. Agrell, E.; Karlsson, M.; Chraplyvy, A.R.; Richardson, D.J.; Krummrich, P.M.; Winzer, P.; Roberts, K.; Fischer, J.K.; Savory, S.J.; Eggleton, B.J.; et al. Roadmap of optical communications. J. Opt. 2016, 18, 063002. [Google Scholar] [CrossRef]
  56. Papes, M.; Cheben, P.; Benedikovic, D.; Schmid, J.H.; Pond, J.; Halir, R.; Ortega-Moñux, A.; Wangüemert-Pérez, G.; Winnie, N.Y.; Xu, D.X.; et al. Fiber-chip edge coupler with large mode size for silicon photonic wire waveguides. Opt. Express 2016, 24, 5026–5038. [Google Scholar] [CrossRef]
  57. McNab, S.J.; Moll, N.; Vlasov, Y. Ultra-low loss photonic integrated circuit with membrane-type photonic crystal waveguides. Opt. Express 2003, 11, 2927–2939. [Google Scholar] [CrossRef]
  58. Carroll, L.; Lee, J.-S.; Scarcella, C.; Gradkowski, K.; Duperron, M.; Lu, H.; Zhao, Y.; Eason, C.; Morrissey, P.; Rensing, M.; et al. Photonic Packaging: Transforming Silicon Photonic Integrated Circuits into Photonic Devices. Appl. Sci. 2016, 6, 426. [Google Scholar] [CrossRef]
  59. Vermeulen, D.; Selvaraja, S.K.; Verheyen, P.; Lepage, G.; Bogaerts, W.; Absil, P.; Van Thourhout, D.; Roelkens, G. High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible Silicon-On-Insulator platform. Opt. Express 2010, 18, 18278–18283. [Google Scholar] [CrossRef]
  60. Snyder, B.; O’Brien, P. Planar Fiber Packaging Method for Silicon Photonic Integrated Circuits. In Proceedings of the Optical Fiber Communication Conference Postdeadline Papers; The Optical Society: Washington, DC, USA, 2012; p. OM2E.5. [Google Scholar]
  61. Carroll, L.; Gerace, D.; Cristiani, I.; Menezo, S.; Andreani, L.C. Broad parameter optimization of polarization-diversity 2D grating couplers for silicon photonics. Opt. Express 2013, 21, 21556–21568. [Google Scholar] [CrossRef]
  62. Dangel, R.; Hofrichter, J.; Horst, F.; Jubin, D.; La Porta, A.; Meier, N.; Soganci, I.M.; Weiss, J.; Offrein, B.J. Polymer waveguides for electro-optical integration in data centers and high-performance computers. Opt. Express 2015, 23, 4736–4750. [Google Scholar] [CrossRef]
  63. Anderson, P.; Schmidt, B.S.; Lipson, M. High confinement in silicon slot waveguides with sharp bends. Opt. Express 2006, 14, 9197–9202. [Google Scholar] [CrossRef]
  64. Shoji, T.; Tsuchizawa, T.; Watanabe, T.; Yamada, K.; Morita, H. Low loss mode size converter from 0.3 μm square Si wire waveguides to singlemode fibres. Electron. Lett. 2002, 38, 1669–1670. [Google Scholar] [CrossRef]
  65. Pu, M.; Liu, L.; Ou, H.; Yvind, K.; Hvam, J.M. Ultra-low-loss inverted taper coupler for silicon-on-insulator ridge waveguide. Opt. Commun. 2010, 283, 3678–3682. [Google Scholar] [CrossRef]
  66. Hochberg, M.; Harris, N.C.; Ding, R.; Zhang, Y.; Novack, A.; Xuan, Z.; Baehr-Jones, T. Silicon Photonics: The Next Fabless Semiconductor Industry. IEEE Solid-State Circuits Mag. 2013, 5, 48–58. [Google Scholar] [CrossRef]
  67. Marchetti, R.; Lacava, C.; Khokhar, A.; Cristiani, I.; Richardson, D.; Petropoulos, P.; Minzioni, P. Reflector-less Grating-Coupler with a −0.9 dB Efficiency Realized in 260-nm Silicon-On-Insulator Platform. In Advanced Photonics; The Optical Society: Washington, DC, USA, 2017; pp. 1–2. [Google Scholar]
  68. Snyder, B.; Corbett, B.; O’Brien, P. Hybrid Integration of the Wavelength-Tunable Laser with a Silicon Photonic Integrated Circuit. J. Light. Technol. 2013, 31, 3934–3942. [Google Scholar] [CrossRef]
  69. Zheng, X.; Liu, F.; Patil, D.; Thacker, H.; Luo, Y.; Pinguet, T.; Mekis, A.; Yao, J.; Li, G.; Shi, J.; et al. A sub-picojoule-per-bit CMOS photonic receiver for densely integrated systems. Opt. Express 2009, 18, 204–211. [Google Scholar] [CrossRef] [PubMed]
  70. Sun, C.; Wade, M.T.; Lee, Y.; Orcutt, J.S.; Alloatti, L.; Georgas, M.S.; Waterman, A.S.; Shainline, J.M.; Avizienis, R.R.; Lin, S.; et al. Single-chip microprocessor that communicates directly using light. Nature 2015, 528, 534–538. [Google Scholar] [CrossRef] [Green Version]
  71. Gunn, C. CMOS Photonics For High Speed Interconnects. ECS Meet. Abstr. 2006, 26, 58–66. [Google Scholar] [CrossRef]
  72. Painchaud, Y.; Poulin, M.; Pelletier, F.; Latrasse, C.; Gagne, J.-F.; Savard, S.; Robidoux, G.; Picard, M.; Paquet, S.; Davidson, C.; et al. Silicon-based products and solutions. In SPIE OPTO; SPIE: Bellingham, WA, USA, 2014; p. 89880L. [Google Scholar]
  73. Shen, B.; Wang, P.; Polson, R.; Menon, R. Integrated metamaterials for efficient and compact free-space-to-waveguide coupling. Opt. Express 2014, 22, 27175–27182. [Google Scholar] [CrossRef]
  74. Cloutier, S.G.; Kossyrev, P.A.; Xu, J. Optical gain and stimulated emission in periodic nanopatterned crystalline silicon. Nat. Mater. 2005, 4, 887–891. [Google Scholar] [CrossRef]
  75. Pavesi, L.; Negro, L.D.; Mazzoleni, C.; Franzo, G.; Priolo, F. Optical gain in silicon nanocrystals. Nature 2000, 408, 440–444. [Google Scholar] [CrossRef]
  76. Rong, H.; Liu, A.; Jones, R.; Cohen, O.; Hak, D.; Nicolaescu, R.; Fang, A.; Paniccia, M.J. An all-silicon Raman laser. Nature 2005, 433, 292–294. [Google Scholar] [CrossRef] [PubMed]
  77. Rong, H.; Xu, S.; Kuo, Y.-H.; Sih, V.; Cohen, O.; Raday, O.; Paniccia, M. Low-threshold continuous-wave Raman silicon laser. Nat. Photon. 2007, 1, 232–237. [Google Scholar] [CrossRef]
  78. Pralle, M.U.; Moelders, N.; McNeal, M.P.; Puscasu, I.; Greenwald, A.C.; Daly, J.T.; Johnson, E.A.; George, T.; Choi, D.S.; El-Kady, I.; et al. Photonic crystal enhanced narrow-band infrared emitters. Appl. Phys. Lett. 2002, 81, 4685–4687. [Google Scholar] [CrossRef]
  79. Soref, R. Toward silicon-based longwave integrated optoelectronics (LIO). Silicon Photonics III Int. Soc. Opt. Photonics 2008, 6898, 689809. [Google Scholar]
  80. Schulz, M. The end of the road for silicon? Nature 1999, 399, 729–730. [Google Scholar] [CrossRef]
  81. Normile, D. The End--Not Here Yet, But Coming Soon. Science 2001, 293, 787. [Google Scholar] [CrossRef]
  82. Liu, A.Y.; Zhang, C.; Norman, J.; Snyder, A.; Lubyshev, D.; Fastenau, J.M.; Liu, A.W.K.; Gossard, A.C.; Bowers, J.E. High performance continuous wave 1.3 μm quantum dot lasers on silicon. Appl. Phys. Lett. 2014, 104, 041104. [Google Scholar] [CrossRef]
  83. Jung, D.; Zhang, Z.; Norman, J.; Herrick, R.; Kennedy, M.J.; Patel, P.; Turnlund, K.; Jan, C.; Wan, Y.; Gossard, A.C.; et al. Highly Reliable Low-Threshold InAs Quantum Dot Lasers on On-Axis (001) Si with 87% Injection Efficiency. ACS Photon. 2018, 5, 1094–1100. [Google Scholar] [CrossRef]
  84. Chen, S.; Li, W.; Wu, J.; Jiang, Q.; Tang, M.; Shutts, S.; Elliott, S.N.; Sobiesierski, A.; Seeds, A.; Ross, I.; et al. Electrically pumped continuous-wave III–V quantum dot lasers on silicon. Nat. Photon. 2016, 10, 307–311. [Google Scholar] [CrossRef]
  85. Bowers, J.E.; Komljenovic, T.; Davenport, M.; Hulme, J.; Liu, A.Y.; Santis, C.T.; Spott, A.; Srinivasan, S.; Stanton, E.J.; Zhang, C. Recent advances in silicon photonic integrated circuits. Next-Gener. Opt. Commun. Compon. Sub-Syst. Syst. V 2016, 9774, 977402. [Google Scholar]
  86. Fang, A.W.; Park, H.; Cohen, O.; Jones, R.; Paniccia, M.J.; Bowers, J.E. Electrically pumped hybrid AlGaInAs-silicon evanescent laser. Opt. Express 2006, 14, 9203–9210. [Google Scholar] [CrossRef] [PubMed]
  87. Zhang, C.; Liang, D.; Li, C.; Kurczveil, G.; Bowers, J.E.; Beausoleil, R.G. High-speed hybrid silicon microring lasers. In Proceedings of the 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS); IEEE: Piscataway, NJ, USA, 2015; pp. 1–4. [Google Scholar]
  88. Wang, Y.; Wei, Y.; Huang, Y.; Tu, Y.; Ng, D.; Lee, C.; Zheng, Y.; Liu, B.; Ho, S.-T. Silicon/III-V laser with super-compact diffraction grating for WDM applications in electronic-photonic integrated circuits. Opt. Express 2011, 19, 2006–2013. [Google Scholar] [CrossRef] [PubMed]
  89. Tran, M.A.; Huang, D.; Guo, J.; Komljenovic, T.; Morton, P.A.; Bowers, J.E. Ring-Resonator Based Widely-Tunable Narrow-Linewidth Si/InP Integrated Lasers. IEEE J. Sel. Top. Quantum Electron. 2020, 26, 1–14. [Google Scholar] [CrossRef]
  90. Fan, Y.; van Rees, A.; Van der Slot, P.J.M.; Mak, J.; Oldenbeuving, R.M.; Hoekman, M.; Geskus, D.; Roeloffzen, C.G.H.; Boller, K.-J. Hybrid integrated InP-Si3N4 diode laser with a 40-Hz intrinsic linewidth. Opt. Express 2020, 28, 21713–21728. [Google Scholar] [CrossRef]
  91. Xiang, C.; Guo, J.; Jin, W.; Wu, L.; Peters, J.; Xie, W.; Chang, L.; Shen, B.; Wang, H.; Yang, Q.F.; et al. High-performance lasers for fully integrated silicon nitride photonics. Nat. Commun. 2021, 12, 6650. [Google Scholar] [CrossRef]
  92. Jin, W.; Yang, Q.-F.; Chang, L.; Shen, B.; Wang, H.; Leal, M.A.; Wu, L.; Gao, M.; Feshali, A.; Paniccia, M.; et al. Hertz-linewidth semiconductor lasers using CMOS-ready ultra-high-Q microresonators. Nat. Photon. 2021, 15, 346–353. [Google Scholar] [CrossRef]
  93. Koch, B.; Fang, A.; Lively, E.; Jones, R.; Cohen, O.; Blumenthal, D.; Bowers, J. Mode locked and distributed feedback silicon evanescent lasers. Laser Photon. Rev. 2009, 3, 355–369. [Google Scholar] [CrossRef]
  94. Liu, S.; Wu, X.; Jung, D.; Norman, J.C.; Kennedy, M.J.; Tsang, H.K.; Gossard, A.C.; Bowers, J.E. High-channel-count 20 GHz passively mode-locked quantum dot laser directly grown on Si with 41 Tbit/s transmission capacity. Optica 2019, 6, 128–134. [Google Scholar] [CrossRef] [Green Version]
  95. Iadanza, S.; Liles, A.; Butler, S.; Hegarty, S.; O’Faolain, L. Photonic crystal lasers: From photonic crystal surface emitting lasers (PCSELs) to hybrid external cavity lasers (HECLs) and topological PhC lasers [Invited]. Opt. Mater. Express 2021, 11, 3245. [Google Scholar] [CrossRef]
  96. Luo, C.; Zhang, R.; Qiu, B.; Wang, W. Waveguide external cavity narrow linewidth semiconductor lasers. J. Semicond. 2021, 42, 041308. [Google Scholar] [CrossRef]
  97. Xiang, C.; Jin, W.; Guo, J.; Peters, J.D.; Kennedy, M.J.; Selvidge, J.; Morton, P.A.; Bowers, J.E. Narrow-linewidth III-V/Si/Si3N4 laser using multilayer heterogeneous integration. Optica 2020, 7, 20. [Google Scholar] [CrossRef] [Green Version]
  98. Xiang, C.; Morton, P.A.; Bowers, J.E. Ultra-narrow linewidth laser based on a semiconductor gain chip and extended Si3N4 Bragg grating. Opt. Lett. 2019, 44, 3825–3828. [Google Scholar] [CrossRef] [PubMed]
  99. Haurylau, M.; Chen, G.; Chen, H.; Zhang, J.; Nelson, N.A.; Albonesi, D.H.; Friedman, E.G.; Fauchet, P.M. On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions. IEEE J. Sel. Top. Quantum Electron. 2006, 12, 1699–1705. [Google Scholar] [CrossRef]
  100. Thraskias, C.A.; Lallas, E.N.; Neumann, N.; Schares, L.; Offrein, B.J.; Henker, R.; Plettemeier, D.; Ellinger, F.; Leuthold, J.; Tomkos, I. Survey of Photonic and Plasmonic Interconnect Technologies for Intra-Datacenter and High-Performance Computing Communications. IEEE Commun. Surv. Tutor. 2018, 20, 2758–2783. [Google Scholar] [CrossRef] [Green Version]
  101. Zhu, S.; Liow, T.Y.; Lo, G.Q.; Kwong, D.L. Silicon-based horizontal nanoplasmonic slot waveguides for on-chip integration. Opt. Express 2011, 19, 8888–8902. [Google Scholar] [CrossRef]
  102. Dragoman, M.; Dragoman, D. Plasmonics: Applications to nanoscale terahertz and optical devices. Prog. Quantum Electron. 2008, 32, 1–41. [Google Scholar] [CrossRef]
  103. Vlasov, Y.A.; McNab, S.J. Losses in single-mode silicon-on-insulator strip waveguides and bends. Opt. Express 2004, 12, 1622–1631. [Google Scholar] [CrossRef]
  104. Bauters, J.F.; Heck, M.J.R.; John, D.; Dai, D.; Tien, M.-C.; Barton, J.S.; Leinse, A.; Heideman, R.G.; Blumenthal, D.J.; Bowers, J.E. Ultra-low-loss high-aspect-ratio Si3N4 waveguides. Opt. Express 2011, 19, 3163–3174. [Google Scholar] [CrossRef]
  105. Lee, B.G.; Chen, X.; Biberman, A.; Liu, X.; Hsieh, I.W.; Chou, C.Y.; Dadap, J.I.; Xia, F.; Green, W.M.; Sekaric, L.; et al. Ultrahigh-Bandwidth Silicon Photonic Nanowire Waveguides for On-Chip Networks. Photonics Technol. Lett. IEEE 2008, 20, 398–400. [Google Scholar] [CrossRef]
  106. Li, Z.; Shubin, I.; Zhou, X. Optical interconnects: Recent advances and future challenges. Opt. Express 2015, 23, 3717–3720. [Google Scholar] [CrossRef]
  107. Bergman, K.; Shalf, J.; Hausken, T. Optical Interconnects and Extreme Computing. Opt. Photonics News 2016, 27, 32–39. [Google Scholar] [CrossRef]
  108. Rakheja, S.; Kumar, V. Comparison of electrical, optical and plasmonic on-chip interconnects based on delay and energy considerations. In Proceedings of the Thirteenth International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 19–21 March 2012; IEEE: Piscataway, NJ, USA, 2012; pp. 732–739. [Google Scholar]
  109. Wassel, H.M.G.; Dai, D.; Tiwari, M.; Valamehr, J.K.; Theogarajan, L.; Dionne, J.; Chong, F.T.; Sherwood, T. Opportunities and Challenges of Using Plasmonic Components in Nanophotonic Architectures. IEEE J. Emerg. Sel. Top. Circuits Syst. 2012, 2, 154–168. [Google Scholar] [CrossRef] [Green Version]
  110. Almeida, V.R.; Xu, Q.; Barrios, C.A.; Lipson, M. Guiding and confining light in void nanostructure. Opt. Lett. 2004, 29, 3. [Google Scholar] [CrossRef] [PubMed]
  111. Xu, Q.; Almeida, V.R.; Panepucci, R.R.; Lipson, M. Experimental demonstration of guiding and confining light in nanometer-size low-refractive-index material. Opt. Lett. 2004, 29, 1626–1628. [Google Scholar] [CrossRef] [PubMed]
  112. Xiang, C.; Wang, J. Long-Range Hybrid Plasmonic Slot Waveguide. IEEE Photonics J. 2013, 5, 4800311. [Google Scholar] [CrossRef]
  113. Maier, S. Plasmonics: Fundamentals and Applications; Springer: New York, NY, USA, 2007. [Google Scholar]
  114. Li, Y.; Cui, K.; Feng, X.; Huang, Y.; Liu, F.; Zhang, W. Ultralow Propagation Loss Slot-Waveguide in High Absorption Active Material. IEEE Photonics J. 2014, 6, 1–6. [Google Scholar] [CrossRef]
  115. Ishizaka, Y.; Saitoh, K.; Koshiba, M. Transmission-Efficient Structures of Bent and Crossing Silicon Slot Waveguides. IEEE Photonics J. 2013, 5, 6601809. [Google Scholar] [CrossRef]
  116. Mekawey, H.; Ismail, Y.; Swillam, M. Dynamic routing control through bends for Si sub-micrometer optical interconnects. In Proceedings of the SPIE 10108, Silicon Photonics XII, San Francisco, CA, USA, 20 February 2017. [Google Scholar]
  117. Peucheret, C. Direct and External Modulation of Light; Department of Photonics Engineering, Technical University of Denmark: Lyngby, Denmark, 2009. [Google Scholar]
  118. Reed, G.T.; Mashanovich, G.; Gardes, F.Y.; Thomson, D.J. Silicon optical modulators. Nat. Photonics 2010, 4, 518–526. [Google Scholar] [CrossRef] [Green Version]
  119. Ramdane, A.; Devaux, F.; Souli, N.; Delprat, D.; Ougazzaden, A. Monolithic integration of multiple-quantum-well lasers and modulators for high-speed transmission. IEEE J. Sel. Top. Quantum Electron. 1996, 2, 326–335. [Google Scholar] [CrossRef]
  120. Tilley, R. Crystals and Crystal Structures; John Wiley: Hoboken, NJ, USA, 2006. [Google Scholar]
  121. Soref, R.A.; Bennett, B.R. Kramers–Kronig analysis of electro-optical switching in silicon. SPIE Integr. Opt. Circuit Eng. IV 1987, 704, 7. [Google Scholar]
  122. Clark, S.A.; Culshaw, B.; Dawnay, E.J.C.; Day, I.E. Thermo-optic phase modulators in SIMOX material. Symp. Integr. Optoelectron. 2000, 3936, 16–24. [Google Scholar] [CrossRef]
  123. Shainline, J.M.; Orcutt, J.S.; Wade, M.T.; Nammari, K.; Moss, B.; Georgas, M.; Sun, C.; Ram, R.J.; Stojanović, V.; Popović, M.A. Depletion-mode carrier-plasma optical modulator in zero-change advanced CMOS. Opt. Lett. 2013, 38, 3. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  124. Lee, J.-M.; Kim, D.-J.; Kim, G.-H.; Kwon, O.-K.; Kim, K.-J.; Kim, G. Controlling temperature dependence of silicon waveguide using slot structure. Opt. Express 2008, 16, 1645–1652. [Google Scholar] [CrossRef] [PubMed]
  125. Teng, J.; Dumon, P.; Bogaerts, W.; Zhang, H.; Jian, X.; Han, X.; Zhao, M.; Morthier, G.; Baets, R. Athermal Silicon-on-insulator ring resonators by overlaying a polymer cladding on narrowed waveguides. Optics Express 2009, 17, 14627–14633. [Google Scholar] [CrossRef] [Green Version]
  126. Barrios, C.A.; Almeida, V.R.; Panepucci, R.; Lipson, M. Electrooptic modulation of silicon-on-insulator submicrometer-size waveguide devices. J. Lightwave Technol. 2003, 21, 8. [Google Scholar] [CrossRef]
  127. Cutolo, A.; Iodice, M.; Spirito, P.; Zeni, L. Silicon electro-optic modulator based on a three terminal device integrated in a low-loss single-mode SOI waveguide. J. Lightwave Technol. 1997, 15, 14. [Google Scholar] [CrossRef]
  128. Cutolo, A.; Iodice, M.; Irace, A.; Spirito, P.; Zeni, L. An electrically controlled Bragg reflector integrated in a rib silicon on insulator waveguide. Appl. Phys. Lett. 1997, 71, 3. [Google Scholar] [CrossRef]
  129. Dainesi, P.; Kung, A.; Chabloz, M.; Lagos, A.; Fluckiger, P.; Ionescu, A.; Fazan, P.; Declerq, M.; Renaud, P.; Robert, P. CMOS compatible fully integrated Mach-Zehnder interferometer in SOI technology. IEEE Photonics Technol. Lett. 2000, 12, 660–662. [Google Scholar] [CrossRef]
  130. Friedman, L.; Soref, R.A.; Lorenzo, J.P. Silicon double-injection electrooptic modulator with junction gate control. J. Appl. Phys. 1988, 63, 9. [Google Scholar] [CrossRef]
  131. Hewitt, P.D.; Reed, G.T. Multi micron dimension optical p-i-n modulators in silicon-on-insulator. SPIE 1999, 3630, 237–243. [Google Scholar]
  132. Hewitt, P.D.; Reed, G.T. Improving the response of optical phase modulators in SOI by computer simulation. J. Lightwave Technol. 2000, 18, 8. [Google Scholar] [CrossRef]
  133. Jackson, S.M.; Reed, G.T.; Tang, C.K.; Evans, A.G.R.; Clark, J.; Aveyard, C.; Namavar, F. Optical beamsteering using integrated optical modulators. J. Lightwave Technol. 1997, 15, 2259–2263. [Google Scholar] [CrossRef]
  134. Jackson, S.M.; Hewitt, P.D.; Reed, G.T.; Tang, C.K.; Evans, A.G.R.; Clark, J.; Aveyard, C.; Namavar, F. A novel optical phase modulator design suitable for phased arrays. J. Lightwave Technol. 1998, 16, 2016. [Google Scholar] [CrossRef]
  135. Lorenzo, J.P.; Soref, R.A. 1.3 μm electro-optic silicon switch. Appl. Phys. Lett. 1987, 51, 3. [Google Scholar] [CrossRef]
  136. Van Camp, M.A.; Assefa, S.; Gill, D.M.; Barwicz, T.; Shank, S.M.; Rice, P.M.; Topuria, T.; Green, W.M.J. Demonstration of electrooptic modulation at 2165 nm using a silicon Mach-Zehnder interferometer. Opt. Express 2012, 20, 8. [Google Scholar] [CrossRef]
  137. Png, C.E.; Chan, S.P.; Lim, S.T.; Reed, G.T. Optical phase modulators for MHz and GHz modulation in silicon-on-insulator (SOI). J. Lightwave Technol. 2004, 22, 10. [Google Scholar] [CrossRef]
  138. Wu, R.; Chen, C.H.; Huang, T.C.; Cheng, K.T.; Beausoleil, R. 20 Gb/s carrier-injection silicon microring modulator with SPICE-compatible dynamic model. In Proceedings of the 2015 International Conference on Photonics in Switching (PS), Florence, Italy, 22–25 September 2015. [Google Scholar]
  139. Sciuto, A.; Libertino, S.; Alessandria, A.; Coffa, S.; Coppola, G. Design, fabrication, and testing of an integrated Si-based light modulator. J. Lightwave Technol. 2003, 21, 6. [Google Scholar] [CrossRef]
  140. Tang, C.K.; Reed, G.T.; Wilson, A.J.; Rickman, A.G. Simulation of a low loss optical modulator for fabrication in SIMOX material. Symp. Mater. Res. Soc. 1993, 298, 247–252. [Google Scholar] [CrossRef]
  141. Tang, C.K.; Reed, G.T.; Wilson, A.J.; Rickman, A.G. Low-loss, singlemodel optical phase modulator in SIMOX material. J. Lightwave Technol. 1994, 12, 7. [Google Scholar] [CrossRef]
  142. Tang, C.K.; Reed, G.T. Highly efficient optical phase modulator in SOI waveguides. Electron. Lett. 1995, 31, 2. [Google Scholar] [CrossRef]
  143. Treyz, G.V. Silicon Mach–Zehnder waveguide interferometers operating at 1.3 μm. Electron. Lett. 1991, 27, 3. [Google Scholar] [CrossRef]
  144. Treyz, G.V.; May, P.G.; Halbout, J.-M. Silicon optical modulators at 1.3-μm based on free-carrier absorption. IEEE Electr. Device Lett. 1991, 12, 3. [Google Scholar] [CrossRef]
  145. Day, I.E.; Roberts, S.W.; O’Carroll, R.; Knights, A.; Sharp, P.; Hopper, G.F.; Luff, B.J.; Asghari, M. Single-Chip Variable Optical Attenuator and Multiplexer Subsystem Integration. In Proceedings of the Optical Fiber Communication Conference and Exhibit, Anaheim, CA, USA, 17–22 March 2002; p. TuK4. [Google Scholar]
  146. Kim, J.F.Y.; Takahashi, S.; Takenaka, M.; Takagi, S. SiGe-based carrier-injection Mach-Zehnder modulator with enhanced plasma dispersion effect in strained SiGe. In Proceedings of the 2015 Optical Fiber Communications Conference and Exhibition (OFC), Los Angeles, CA, USA, 22–26 March 2015. [Google Scholar]
  147. Xu, Q.; Schmidt, B.; Pradhan, S.; Lipson, M. Micrometre-scale silicon electro-optic modulator. Nature 2005, 435, 325. [Google Scholar] [CrossRef] [PubMed]
  148. Liu, K.; Ye, C.R.; Khan, S.; Sorger, V.J. Review and perspective on ultrafast wavelength-size electro-optic modulators. Laser Photonics Rev. 2015, 9, 172–194. [Google Scholar] [CrossRef]
  149. Krückel, H.B.C.J.; Ban, Y.; Heck, M.J.R.; van Campenhout, J.; van Thourhout, D. Towards Maximum Energy Efficiency of Carrier-Injection-Based Silicon Photonics. J. Lightwave Technol. 2021, 39, 2931–2940. [Google Scholar] [CrossRef]
  150. Liu, A.; Jones, R.; Liao, L.; Samara-Rubio, D.; Rubin, D.; Cohen, O.; Nicolaescu, R.; Paniccia, M. A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor. Nature 2004, 427, 615–618. [Google Scholar] [CrossRef] [PubMed]
  151. Liao, L.; Samara-Rubio, D.; Morse, M.; Liu, A.; Hodge, D.; Rubin, D.; Keil, U.D.; Franck, T. High speed silicon Mach-Zehnder modulator. Opt. Express 2005, 13, 3129–3135. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  152. Kajikawa, K.; Tabei, T.; Sunami, H. An infrared silicon optical modulator of metal-oxide-semiconductor capacitor based on accumulation-carrier absorption. Jpn. J. Appl. Phys. 2009, 48, 04C107. [Google Scholar] [CrossRef]
  153. Alloatti, L.; Palmer, R.; Diebold, S.; Pahl, K.P.; Chen, B.; Dinu, R.; Fournier, M.; Fedeli, J.-M.; Zwick, T.; Freude, W.; et al. 100 GHz silicon–organic hybrid modulator. Light Sci. Appl. 2014, 3, 4. [Google Scholar] [CrossRef] [Green Version]
  154. Sodagar, M.; Hosseinnia, A.H.; Isautier, P.; Moradinejad, H.; Ralph, S.; Eftekhar, A.A.; Adibi, A. Compact, 15 Gb/s electro-optic modulator through carrier accumulation in a hybrid Si/SiO2/Si microdisk. Opt Express 2015, 23, 28306–28315. [Google Scholar] [CrossRef]
  155. Reed, G.T.; Mashanovich, G.Z.; Gardes, F.Y.; Nedeljkovic, M.; Hu, Y.; Thomson, D.J.; Li, K.; Wilson, P.R.; Chen, S.; Hsu, S.S. Recent breakthroughs in carrier depletion based silicon optical modulators. Nanophotonics 2013, 3, 17. [Google Scholar] [CrossRef] [Green Version]
  156. Gardes, F.Y.; Reed, G.T.; Emerson, N.; Png, C. A sub-micron depletiontype photonic modulator in silicon on insulator. Opt. Express 2005, 13, 10. [Google Scholar] [CrossRef]
  157. Liu, A.; Liao, L.; Rubin, D.; Nguyen, H.; Ciftcioglu, B.; Chetrit, Y.; Izhaky, N.; Paniccia, M. High-speed optical modulation based on carrier depletion in a silicon waveguide. Opt. Express. 2007, 15, 9. [Google Scholar] [CrossRef] [PubMed]
  158. Liao, L.; Liu, A.; Rubin, D.; Basak, J.; Chetrit, Y.; Nguyen, H.; Cohen, R.; Izhaky, N.; Paniccia, M. 40 Gbit/s silicon optical modulator for highspeed applications. Electron Lett. 2007, 43, 2. [Google Scholar] [CrossRef]
  159. Spector, S.J.; Geis, M.W.; Grein, M.E.; Schulein, R.T.; Yoon, J.U.; Lennon, D.M.; Gan, F.; Zhou, G.-R.; Kaertner, F.X.; Lyszczarz, T.M. High-speed silicon electro-optical modulator that can be operated in carrier depletion or carrier injection mode. In Proceedings of the 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science, San Jose, CA, USA, 4–9 May 2008. [Google Scholar]
  160. Marris-Morini, D.; Vivien LFédéli, J.-M.; Cassan, E.; Lyan, P.; Laval, S. Low loss and high speed silicon optical modulation based on a lateral carrier depletion structure. Opt. Express 2008, 16, 6. [Google Scholar] [CrossRef] [PubMed]
  161. You, J.-B.; Park, M.; Park, J.-W.; Kim, G. 12.5 Gbps optical modulation of silicon racetrack resonator based on carrier-depletion in asymmetric p-n diode. Opt. Express 2008, 16, 5. [Google Scholar] [CrossRef] [PubMed]
  162. Li, G.; Zheng, X.; Thacker, H.; Yao, J.; Loo, Y.; Shubin, I.; Raj, K.; Cunningham, J.E.; Krishnamoorthy, A.V. 40 Gb/s Thermally Tunable CMOS ring Modulator. In Proceedings of the 9th International Conference on Group IV Photonics (GFP), San Diego, CA, USA, 29–31 August 2012. [Google Scholar]
  163. Korn, D.; Yu, H.; Hillerkuss, D.; Alloatti, L.; Mattern, C.; Komorowska, K.; Bogaerts, W.; Baets, R.; Van Campenhout, J.; Verheyen, P.; et al. Detection or modulation at 35 Gbit/s with a Standard CMOS-processed Optical Waveguide. In Proceedings of the 2012 Conference Conference on Lasers and electro-Optics (CLEO), San Jose, CA, USA, 6–11 May 2012. [Google Scholar]
  164. Dong, P.; Chen, L.; Chen, Y.-K. High-speed low-voltage single-drive push-pull silicon Mach-Zehnder modulators. Opt. Express 2012, 20, 7. [Google Scholar] [CrossRef] [PubMed]
  165. Timurdogan, E.; Sorace-Agaskar, C.M.; Sun, J.; Hosseini, E.S.; Biberman, A.; Watts, M.R. An ultralow power athermal silicon modulator. Nat. Commun. 2014, 5, 4008. [Google Scholar] [CrossRef] [Green Version]
  166. Gehl, M.; Long, C.; Trotter, D.; Starbuck, A.; Pomerene, A.; Wright, J.B.; Melgaard, S.; Siirola, J.; Lentine, A.L.; DeRose, C. Operation of high-speed silicon photonic micro-disk modulators at cryogenic temperatures. Optica 2017, 4, 374–382. [Google Scholar] [CrossRef]
  167. Gostimirovic, D.; Ye, W.N. Ultralow-Power Double Vertical Junction Microdisk Modulators. IEEE J. Sel. Top. Quantum Electron. 2021, 27, 1–7. [Google Scholar] [CrossRef]
  168. Li, E.; Gao, Q.; Liverman, S.; Wang, A.X. One-volt silicon photonic crystal nanocavity modulator with indium oxide gate. Opt. Lett. 2018, 43, 4429–4432. [Google Scholar] [CrossRef]
  169. Li, E.; Gao, Q.; Chen, R.T.; Wang, A.X. Ultracompact Silicon-Conductive Oxide Nanocavity Modulator with 0.02 Lambda-Cubic Active Volume. Nano Letters 2018, 18, 1075–1081. [Google Scholar] [CrossRef] [PubMed]
  170. Meister, S.; Rhee, H.; Al-Saadi, A.; Franke, B.A.; Kupijai, S.; Theiss, C.; Zimmermann, L.; Tillack, B.; Richter, H.H.; Tian, H.; et al. Matching p-i-n-junctions and optical modes enables fast and ultra-small silicon modulators. Opt. Express 2013, 21, 16210–16221. [Google Scholar] [CrossRef]
  171. Shakoor, A.; Nozaki, K.; Kuramochi, E.; Nishiguchi, K.; Shinya, A.; Notomi, M. Compact 1D-silicon photonic crystal electro-optic modulator operating with ultra-low switching voltage and energy. Optics Express 2014, 22, 28623–28634. [Google Scholar] [CrossRef]
  172. Zhang, J.; Leroux, X.; Durán-Valdeiglesias, E.; Alonso-Ramos, C.; Marris-Morini, D.; Vivien, L.; He, S.; Cassan, E. Generating Fano Resonances in a Single-Waveguide Silicon Nanobeam Cavity for Efficient Electro-Optical Modulation. ACS Photonics 2018, 5, 4229–4237. [Google Scholar] [CrossRef]
  173. Li, E.; Wang, A.X. Theoretical Analysis of Energy Efficiency and Bandwidth Limit of Silicon Photonic Modulators. J. Lightwave Technol. 2019, 37, 5801–5813. [Google Scholar] [CrossRef]
  174. Buckwalter, J.F.; Zheng, X.; Li, G.; Raj, K.; Krishnamoorthy, A.V. A Monolithic 25-Gb/s Transceiver with Photonic Ring Modulators and Ge Detectors in a 130-nm CMOS SOI Process. IEEE J. Solid-State Circuits 2012, 47, 1309–1322. [Google Scholar] [CrossRef]
  175. Hsu, Y.; Tzu, T.C.; Lin, T.C.; Chuang, C.Y.; Wu, X.; Chen, J.; Yeh, C.H.; Tsang, H.K.; Chow, C.W. 64-Gbit/s PAM-4 20-km transmission using silicon micro-ring modulator for optical access networks. In Proceedings of the 2017 Optical Fiber Communications Conference and Exhibition (OFC), Los Angeles, CA, USA, 19–23 March 2017; pp. 1–3. [Google Scholar]
  176. Ding, Y.; Zhu, X.; Xiao, S.; Hu, H.; Frandsen, L.H.; Mortensen, N.A.; Yvind, K. Effective Electro-Optical Modulation with High Extinction Ratio by a Graphene–Silicon Microring Resonator. Nano Lett. 2015, 15, 4393–4400. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  177. Dubé-Demers, R.; LaRochelle, S.; Shi, W. Ultrafast pulse-amplitude modulation with a femtojoule silicon photonic modulator. Optica 2016, 3, 622–627. [Google Scholar] [CrossRef]
  178. Sinatkas, G.; Christopoulos, T.; Tsilipakos, O.; Kriezis, E.E. Electro-optic modulation in integrated photonics. J. Appl. Phys. 2021, 130, 010901. [Google Scholar] [CrossRef]
  179. Watts, M.R.; Zortman, W.A.; Trotter, D.C.; Young, R.W.; Lentine, A.L. Vertical junction silicon microdisk modulators and switches. Opt. Express 2011, 19, 21989–22003. [Google Scholar] [CrossRef] [Green Version]
  180. Xiao, X.; Xu, H.; Li, X.; Hu, Y.; Xiong, K.; Li, Z.; Chu, T.; Yu, Y.; Yu, J. 25 Gbit/s silicon microring modulator based on misalignment-tolerant interleaved PN junctions. Opt. Express 2012, 20, 2507–2515. [Google Scholar] [CrossRef]
  181. Campenhout, J.V.; Pantouvaki, M.; Verheyen, P.; Selvaraja, S.; Lepage, G.; Yu, H.; Lee, W.; Wouters, J.; Goossens, D.; Moelants, M.; et al. Low-voltage, low-loss, multi-Gb/s silicon micro-ring modulator based on a MOS capacitor. In Proceedings of the OFC/NFOEC, Los Angeles, CA, USA, 4–8 March 2012; pp. 1–3. [Google Scholar]
  182. Dong, P.; Liao, S.; Feng, D.; Liang, H.; Zheng, D.; Shafiiha, R.; Kung, C.C.; Qian, W.; Li, G.; Zheng, X.; et al. Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator. Opt. Express 2009, 17, 22484–22490. [Google Scholar] [CrossRef]
  183. Gunn, G.M.C.; Witzens, J.; Capellini, G. CMOS photonics using germanium photodetectors. ECS Trans. 2006, 3, 8. [Google Scholar] [CrossRef]
  184. Park, A.W.F.H.; Jones, R.; Cohen, O.; Raday, O.; Sysak, M.N.; Paniccia, M.J.; Bowers, J.E. A hybrid AlGaInAs-silicon evanescent waveguide photodetector. Opt. Express 2007, 15, 9. [Google Scholar] [CrossRef] [PubMed]
  185. Baehr-Jones, R.D.T.; Ayazi, A.; Pinguet, T.; Streshinsky, M.; Harris, N.; Li, J.; He, L.; Gould, M.; Zhang, Y.; Lim, A.E.; et al. A 25 Gb/s Silicon Photonics Platform. arXiv 2012, arXiv:1203.0767. [Google Scholar]
  186. Vivien, J.O.L.; Fédéli, J.-M.; Marris-Morini, D.; Crozat, P.; Damlencourt, J.-F.; Cassan, E.; Lecunff, Y.; Laval, S. 42 GHz p.i.n Germanium photodetector integrated in a silicon-on-insulator waveguide. Opt. Express 2009, 17, 6. [Google Scholar] [CrossRef] [PubMed]
  187. Binetti, P.R.A.; Leijtens, X.J.M.; de Vries, T.; Oei, Y.S.; di Cioccio, L.; Fedeli, J.-M.; Lagahe, C.; van Campenhout, J.; van Thourhout, D.; van Veldhoven, P.J.; et al. InP/InGaAs Photodetector on SOI Circuitry. In Proceedings of the 2009 6th IEEE International Conference on Group IV Photonics, San Francisco, CA, USA, 9–11 September 2009. [Google Scholar]
  188. Nagarajan, R.; Rahn, J.; Kato, M.; Pleumeekers, J.; Lambert, D.; Lal, V.; Tsai, H.S.; Nilsson, A.; Dentai, A.; Kuntz, M.; et al. 10 Channel, 45.6 Gb/s per Channel, Polarization-Multiplexed DQPSK, InP Receiver Photonic Integrated Circuit. J. Lightwave Technol. 2011, 29, 386–395. [Google Scholar] [CrossRef]
  189. Bradley, J.D.B.; Jessop, P.E.; Knights, A.P. Silicon waveguide-integrated optical power monitor with enhanced sensitivity at 1550 nm. Appl. Phys. Lett. 2005, 86, 241103. [Google Scholar] [CrossRef]
  190. Geis, S.J.S.M.W.; Grein, M.E.; Schulein, R.T.; Yoon, J.U.; Lennon, D.M.; Deneault, S.; Gan, F.; Kaertner, F.X.; Lyszczarz, T.M. CMOS-Compatible All-Si High-Speed Waveguide Photodiodes with High Responsivity in Near-Infrared Communication Band. IEEE Photon. Technol. Lett. 2013, 19, 3. [Google Scholar] [CrossRef]
  191. Grote, K.P.R.R.; Souhan, B.; Driscoll, J.B.; Bergman, K.; Osgood, R.M., Jr. 10 Gb/s Error-Free Operation of All-Silicon Ion-Implanted-Waveguide Photodiodes at 1.55 µm. IEEE Photon. Technol. Lett. 2013, 25, 4. [Google Scholar] [CrossRef]
  192. Souhan, R.R.G.B.; Driscoll, J.B.; Lu, M.; Stein, A.; Bakhru, H.; Osgood, R.M. Metal-semiconductormetal ion-implanted Si waveguide photodetectors for C-band operation. Opt. Express 2014, 22, 9. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  193. Donati, S. Photodetectors: Devices, Circuits and Applications, 2nd ed.; Wiley-IEEE Press: Hoboken, NJ, USA, 2021. [Google Scholar]
  194. Chen, H.; Verheyen, P.; De Heyn, P.; Lepage, G.; De Coster, J.; Balakrishnan, S.; Absil, P.; Yao, W.; Shen, L.; Roelkens, G.; et al. −1 V bias 67 GHz bandwidth Si-contacted germanium waveguide p-i-n photodetector for optical links at 56 Gbps and beyond. Opt. Express 2016, 24, 4622–4631. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  195. Mehta, K.K.; Orcutt, J.S.; Shainline, J.M.; Tehar-Zahav, O.; Sternberg, Z.; Meade, R.; Popović, M.A.; Ram, R.J. Polycrystalline silicon ring resonator photodiodes in a bulk complementary metal-oxide-semiconductor process. Opt. Lett. 2014, 39, 1061–1064. [Google Scholar] [CrossRef] [PubMed]
  196. Lischke, S.; Peczek, A.; Morgan, J.S.; Sun, K.; Steckler, D.; Yamamoto, Y.; Korndörfer, F.; Mai, C.; Marschmeyer, S.; Fraschke, M.; et al. Ultra-fast germanium photodiode with 3-dB bandwidth of 265 GHz. Nat. Photonics 2021, 15, 925–931. [Google Scholar] [CrossRef]
  197. Assefa, F.X.S.; Vlasov, Y.A. Reinventing germanium avalanche photodetector for nanophotonic on-chip optical interconnects. Nat. Lett. 2010, 464, 6. [Google Scholar] [CrossRef]
  198. Chen, H.T.; Verbist, J.; Verheyen, P.; De Heyn, P.; Lepage, G.; De Coster, J.; Absil, P.; Yin, X.; Bauwelinck, J.; Van Campenhout, J.; et al. High sensitivity 10Gb/s Si photonic receiver based on a low-voltage waveguide-coupled Ge avalanche photodetector. Opt. Express 2015, 23, 815–822. [Google Scholar] [CrossRef]
  199. Ackert, J.J.; Thomson, D.J.; Shen, L.; Peacock, A.C.; Jessop, P.E.; Reed, G.T.; Mashanovich, G.Z.; Knights, A.P. High-speed detection at two micrometres with monolithic silicon photodiodes. Nat. Photonics 2015, 9, 393–396. [Google Scholar] [CrossRef]
  200. Dong, Y.; Wang, W.; Xu, S.; Lei, D.; Gong, X.; Guo, X.; Wang, H.; Lee, S.Y.; Loke, W.K.; Yoon, S.F.; et al. Two-micron-wavelength germanium-tin photodiodes with low dark current and gigahertz bandwidth. Opt. Express 2017, 25, 15818–15827. [Google Scholar] [CrossRef]
  201. Xu, S.; Wang, W.; Huang, Y.-C.; Dong, Y.; Masudy-Panah, S.; Wang, H.; Gong, X.; Yeo, Y.-C. High-speed photo detection at two-micron-wavelength: Technology enablement by GeSn/Ge multiple-quantum-well photodiode on 300 mm Si substrate. Opt. Express 2019, 27, 5798–5813. [Google Scholar] [CrossRef]
  202. Rogalski, A. Recent progress in infrared detector technologies. Infrared Phys. Technol. 2011, 54, 136–154. [Google Scholar] [CrossRef]
  203. Norton, P. HgCdTe infrared detectors. Opto-Electron. Rev. 2002, 10, 159–174. [Google Scholar]
  204. Rogalski, A.; Martyniuk, P.; Kopytko, M. InAs/GaSb type-II superlattice infrared detectors: Future prospect. Appl. Phys. Rev. 2017, 4, 031304. [Google Scholar] [CrossRef]
  205. Levine, B.F. Quantum-well infrared photodetectors. J. Appl. Phys. 1993, 74, R1–R81. [Google Scholar] [CrossRef]
  206. Chen, B.; Chen, Y.; Deng, Z. Recent Advances in High Speed Photodetectors for eSWIR/MWIR/LWIR Applications. Photonics 2021, 8, 14. [Google Scholar] [CrossRef]
  207. Miller, D.A.B. Rationale and challenges for optical interconnects to electronic chips. In Proceedings of the IEEE; IEEE: Piscataway, NJ, USA, 2000; Volume 88, pp. 728–749. [Google Scholar]
  208. Chen, G.; Chen, H.; Haurylau, M.; Nelson, N.A.; Albonesi, D.H.; Fauchet, P.M.; Friedman, E.G. Predictions of CMOS compatible on-chip optical interconnect. Integr. VLSI J. 2007, 40, 434–446. [Google Scholar] [CrossRef]
  209. Soref, R. The Past, Present, and Future of Silicon Photonics. IEEE J. Sel. Top. Quantum Electron. 2006, 12, 1678–1687. [Google Scholar] [CrossRef]
  210. Thomson, D.; Zilkie, A.; Bowers, J.E.; Komljenovic, T.; Reed, G.T.; Vivien, L.; Marris-Morini, D.; Cassan, E.; Virot, L.; Fédéli, J.M.; et al. Roadmap on silicon photonics. J. Opt. 2016, 18, 073003. [Google Scholar] [CrossRef]
  211. Miller, D.A.B. Attojoule Optoelectronics for Low-Energy Information Processing and Communications. J. Lightwave Technol. 2017, 35, 346–396. [Google Scholar] [CrossRef] [Green Version]
  212. Zhang, C.; Zhang, S.; Peters, J.; Bowers, J.E. 2.56 Tbps (8 × 8 × 40 Gbps) Fully-Integrated Silicon Photonic Interconnection Circuit. In Proceedings of the 2016 Conference on Lasers and Electro-Optics (CLEO), San Jose, CA, USA, 5–10 June 2016; pp. 785–786. [Google Scholar]
  213. Rong, H.; Jones, R.; Liu, A.; Cohen, O.; Hak, D.; Fang, A.; Paniccia, M. A continuous-wave Raman silicon laser. Nature 2005, 433, 725–728. [Google Scholar] [CrossRef]
  214. Alduino, A.; Liao, L.; Jones, R.; Morse, M.; Kim, B.; Lo, W.Z.; Basak, J.; Koch, B.; Liu, H.F.; Rong, H.; et al. Demonstration of a High Speed 4-Channel Integrated Silicon Photonics WDM Link with Hybrid Silicon Lasers. In Proceedings of the 2010 IEEE Hot Chips 22 Symposium (HCS), Monterey, CA, USA, 25–28 July 2010; p. PDIWI5. [Google Scholar]
  215. Koch, B.; Alduino, A.; Liao, L.; Jones, R.; Morse, M.; Kim, B.; Lo, W.Z.; Basak, J.; Liu, H.F.; Rong, H.; et al. A 4 × 12.5 Gbps CWDM Si Photonics Link using Integrated Hybrid Silicon Lasers. In Proceedings of the CLEO:2011-Laser Applications to Photonic Applications, Baltimore, MD, USA, 1–6 May 2011; p. CThP5. [Google Scholar]
  216. Liu, F.; Patil, D.; Lexau, J.; Amberg, P.; Dayringer, M.; Gainsley, J.; Moghadam, H.F.; Zheng, X.; Cunningham, J.E.; Krishnamoorthy, A.V.; et al. 10 Gbps, 530 fJ/b optical transceiver circuits in 40 nm CMOS. In Proceedings of the 2011 Symposium on VLSI Circuits-Digest of Technical Papers, Kyoto, Japan, 15–17 June 2011; pp. 290–291. [Google Scholar]
  217. Zheng, X.; Liu, F.; Lexau, J.; Patil, D.; Li, G.; Luo, Y.; Thacker, H.; Shubin, I.; Yao, J.; Raj, K.; et al. Ultra-Low Power Arrayed CMOS Silicon Photonic Transceivers for an 80 Gbps WDM Optical Link. In Proceedings of the Optical Fiber Communication Conference/National Fiber Optic Engineers Conference 2011, Los Angeles, CA, USA, 6–10 March 2011; p. PDPA1. [Google Scholar]
  218. Cunningham, J.E.; Shubin, I.; Thacker, H.D.; Lee, J.H.; Li, G.; Zheng, X.; Lexau, J.; Ho, R.; Mitchell, J.G.; Luo, Y.; et al. Scaling hybrid-integration of silicon photonics in freescale 130 nm to TSMC 40 nm-CMOS VLSI drivers for low power communications. In Proceedings of the 2012 IEEE 62nd Electronic Components and Technology Conference, 2012 Optical Interconnects Conference, San Diego, CA, USA, 29 May–1 June 2012; p. 7. [Google Scholar]
  219. Dupuis, N.; Lee, B.G.; Proesel, J.E.; Rylyakov, A.; Rimolo-Donadio, R.; Baks, C.W.; Schow, C.L.; Ramaswamy, A.; Roth, J.E.; Guzzon, R.S.; et al. 30Gbps optical link utilizing heterogeneously integrated III-V/Si photonics and CMOS circuits. In Proceedings of the Optical Fiber Communications Conference and Exhibition (OFC), San Francisco, CA, USA, 9–13 March 2014; pp. 1–3. [Google Scholar]
  220. Atabaki, A.H.; Moazeni, S.; Pavanello, F.; Gevorgyan, H.; Notaros, J.; Alloatti, L.; Wade, M.T.; Sun, C.; Kruger, S.A.; Meng, H.; et al. Integrating photonics with silicon nanoelectronics for the next generation of systems on a chip. Nature 2018, 556, 349–354. [Google Scholar] [CrossRef] [PubMed]
  221. Stojanović, V.; Ram, R.J.; Popović, M.; Lin, S.; Moazeni, S.; Wade, M.; Sun, C.; Alloatti, L.; Atabaki, A.; Pavanello, F.; et al. Monolithic silicon-photonic platforms in state-of-the-art CMOS SOI processes. Opt. Express 2018, 26, 13106–13121. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  222. Atabaki, A.H.; Moazeni, S.; Pavanello, F.; Gevorgyan, H.; Notaros, J.; Alloatti, L.; Wade, M.T.; Sun, C.; Kruger, S.A.; Qubaisi, K.A.; et al. Monolithic Optical Transceivers in 65 nm Bulk CMOS. In Proceedings of the 2018 Optical Fiber Communications Conference and Exposition (OFC), San Diego, CA, USA, 11–15 March 2018; pp. 1–3. [Google Scholar]
Figure 1. Capacitance between neighboring wires (Cc) and adjacent metal layers (Cg) [32], © 2022 IEEE. Reprinted with permission, from M. Ghoneima, Y. Ismail, M. M. Khellah, J. Tschanz, and V. De, “Serial-Link Bus: A Low-Power On-Chip Bus Architecture,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 56, pp. 2020–2032, 2009.
Figure 1. Capacitance between neighboring wires (Cc) and adjacent metal layers (Cg) [32], © 2022 IEEE. Reprinted with permission, from M. Ghoneima, Y. Ismail, M. M. Khellah, J. Tschanz, and V. De, “Serial-Link Bus: A Low-Power On-Chip Bus Architecture,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 56, pp. 2020–2032, 2009.
Nanomaterials 12 00485 g001
Figure 2. Data propagation through an optical interconnect system. Continuous-wave light is generated from the source. Data is transferred from the electrical domain to the optical domain using the modulator. The data-carrying capacity of an optical fiber usually far exceeds that of copper, thus it is possible to combine data onto one optical fiber using multiplexing methods. On the receiving end, the light passes through a demultiplexer, then a photodetector is used where the data is recovered to the electrical domain.
Figure 2. Data propagation through an optical interconnect system. Continuous-wave light is generated from the source. Data is transferred from the electrical domain to the optical domain using the modulator. The data-carrying capacity of an optical fiber usually far exceeds that of copper, thus it is possible to combine data onto one optical fiber using multiplexing methods. On the receiving end, the light passes through a demultiplexer, then a photodetector is used where the data is recovered to the electrical domain.
Nanomaterials 12 00485 g002
Figure 3. 3D schematic of the optical interconnect between core 1 and core 2. Light is introduced to the system by either coupling off-chip lasers using grating couplers or by using an on-chip source. The different colors represent the different wavelengths, where each wavelength is considered as a data channel. The electrical data from core 1 is transmitted through metal layers to the electro-optical modulator, which converts the data from the electrical domain to the optical domain. Wavelength division multiplexing is used to increase the capacity of the system. A multiplexer combines the data from different wavelengths into one waveguide. For core-to-core communication on the same chip, simply an optical waveguide will suffice. For long-distance communication, the data can be transferred to an optical fiber using coupling methods, such as surface grating couplers or edge couplers. A demultiplexer splits the data back into their channels, and a photodetector converts the data back into the electrical domain. The schematic shows the various alternatives for the source (off-chip/on-chip), waveguide (strip/slot [63]), modulator (carrier accumulation/carrier injection/carrier depletion), and photodetector (PIN/avalanche).
Figure 3. 3D schematic of the optical interconnect between core 1 and core 2. Light is introduced to the system by either coupling off-chip lasers using grating couplers or by using an on-chip source. The different colors represent the different wavelengths, where each wavelength is considered as a data channel. The electrical data from core 1 is transmitted through metal layers to the electro-optical modulator, which converts the data from the electrical domain to the optical domain. Wavelength division multiplexing is used to increase the capacity of the system. A multiplexer combines the data from different wavelengths into one waveguide. For core-to-core communication on the same chip, simply an optical waveguide will suffice. For long-distance communication, the data can be transferred to an optical fiber using coupling methods, such as surface grating couplers or edge couplers. A demultiplexer splits the data back into their channels, and a photodetector converts the data back into the electrical domain. The schematic shows the various alternatives for the source (off-chip/on-chip), waveguide (strip/slot [63]), modulator (carrier accumulation/carrier injection/carrier depletion), and photodetector (PIN/avalanche).
Nanomaterials 12 00485 g003
Figure 4. (a) Edge coupler utilizing a spot size converter [58]. Reproduced under CC BY. (b) Cross-section schematic of the grating coupler [54], © Cambridge University Press 2015. Adapted by permission from Cambridge University Press: L. Chrostowski and M. Hochberg, silicon photonics design: from devices to systems. (c) Cross-section schematic of a grating coupler [58]. Reproduced under CC BY.
Figure 4. (a) Edge coupler utilizing a spot size converter [58]. Reproduced under CC BY. (b) Cross-section schematic of the grating coupler [54], © Cambridge University Press 2015. Adapted by permission from Cambridge University Press: L. Chrostowski and M. Hochberg, silicon photonics design: from devices to systems. (c) Cross-section schematic of a grating coupler [58]. Reproduced under CC BY.
Nanomaterials 12 00485 g004
Figure 5. Schematic showing the concept of an integrated 1 Tbps hybrid silicon photonic transmitter [93]. The 25 lasers should have a very narrow linewidth to allow using a narrowband photodetector, which can be simpler and more efficient than a wideband photodetector. Copyright © 2022 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim. Reprinted by permission from John Wiley and Sons: B. R. Koch, A. W. Fang, E. Lively, R. Jones, O. Cohen, D. J. Blumenthal, and J. E. Bowers, “Mode locked and distributed feedback silicon evanescent lasers,” Laser and Photonics Reviews, vol. 3, pp. 355–369, 2009.
Figure 5. Schematic showing the concept of an integrated 1 Tbps hybrid silicon photonic transmitter [93]. The 25 lasers should have a very narrow linewidth to allow using a narrowband photodetector, which can be simpler and more efficient than a wideband photodetector. Copyright © 2022 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim. Reprinted by permission from John Wiley and Sons: B. R. Koch, A. W. Fang, E. Lively, R. Jones, O. Cohen, D. J. Blumenthal, and J. E. Bowers, “Mode locked and distributed feedback silicon evanescent lasers,” Laser and Photonics Reviews, vol. 3, pp. 355–369, 2009.
Nanomaterials 12 00485 g005
Figure 6. The waveguide structure with dimensions h = 250 nm and w = 450 nm: (a) The slot is centered in the waveguide and (b) the waveguide slot is offset by x. The positive x direction is towards the outside of the bend. (c) Slot wave-guide losses due to bending for different slot positions and bending radii. Reprinted by permission from the Optica Publishing Group [63].
Figure 6. The waveguide structure with dimensions h = 250 nm and w = 450 nm: (a) The slot is centered in the waveguide and (b) the waveguide slot is offset by x. The positive x direction is towards the outside of the bend. (c) Slot wave-guide losses due to bending for different slot positions and bending radii. Reprinted by permission from the Optica Publishing Group [63].
Nanomaterials 12 00485 g006
Figure 7. Speed versus energy consumption chart categorizes different types of electro-optical modulators [148], © 2022 by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim. Reprinted by permission from John Wiley and Sons: K. Liu, C. R. Ye, S. Khan, and V. J. Sorger, “Review and perspective on ultrafast wavelength-size electro-optic modulators,” Laser and Photonics Reviews, vol. 9, pp. 172–194, 2015.
Figure 7. Speed versus energy consumption chart categorizes different types of electro-optical modulators [148], © 2022 by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim. Reprinted by permission from John Wiley and Sons: K. Liu, C. R. Ye, S. Khan, and V. J. Sorger, “Review and perspective on ultrafast wavelength-size electro-optic modulators,” Laser and Photonics Reviews, vol. 9, pp. 172–194, 2015.
Nanomaterials 12 00485 g007
Figure 8. Cross sections of the typical plasma dispersion-based modulators configurations [118]. (a) Carrier accumulation in which a thin insulating SiO2 layer splits the waveguide and causes carriers to accumulate on both sides of the layer. (b) Carrier injection in which the waveguide is formed by an intrinsic layer separating a highly doped n and p regions. (c) Carrier depletion in which the lightly doped n and p regions are adjacent and form a PN junction in the waveguide itself. Reprinted by permission from Springer Nature: Nature Photonics “Silicon optical modulators,” G. T. Reed, G. Mashanovich, F. Y. Gardes, D. J. Thomson, © 2022.
Figure 8. Cross sections of the typical plasma dispersion-based modulators configurations [118]. (a) Carrier accumulation in which a thin insulating SiO2 layer splits the waveguide and causes carriers to accumulate on both sides of the layer. (b) Carrier injection in which the waveguide is formed by an intrinsic layer separating a highly doped n and p regions. (c) Carrier depletion in which the lightly doped n and p regions are adjacent and form a PN junction in the waveguide itself. Reprinted by permission from Springer Nature: Nature Photonics “Silicon optical modulators,” G. T. Reed, G. Mashanovich, F. Y. Gardes, D. J. Thomson, © 2022.
Nanomaterials 12 00485 g008
Figure 9. (a) Schematic layout of a carrier injection-based ring resonator modulator. (b) SEM and optical microscope top view images of the ring resonator. (c) The ring resonator’s transmission spectra for various bias voltage on the p-i-n structure. The inset in (c) shows the transfer function of the modulator [147]. Reprinted by permission from Springer Nature: Nature “Micrometre-scale silicon electro-optic modulator,” Q. Xu, B. Schmidt, S. Pradhan, M. Lipson, © 2022.
Figure 9. (a) Schematic layout of a carrier injection-based ring resonator modulator. (b) SEM and optical microscope top view images of the ring resonator. (c) The ring resonator’s transmission spectra for various bias voltage on the p-i-n structure. The inset in (c) shows the transfer function of the modulator [147]. Reprinted by permission from Springer Nature: Nature “Micrometre-scale silicon electro-optic modulator,” Q. Xu, B. Schmidt, S. Pradhan, M. Lipson, © 2022.
Nanomaterials 12 00485 g009
Figure 10. (a) Schematic layout of a carrier accumulation-based modulator. (b) Realized phase shift versus the drive voltage for different phase shifter length values [150]. Reprinted with permission from Springer Nature: Nature “A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor,” A. Liu, R. Jones, L. Liao, D. Samara-Rubio, D. Rubin, O. Cohen, R. Nicolaescu, M. Paniccia, © 2022.
Figure 10. (a) Schematic layout of a carrier accumulation-based modulator. (b) Realized phase shift versus the drive voltage for different phase shifter length values [150]. Reprinted with permission from Springer Nature: Nature “A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor,” A. Liu, R. Jones, L. Liao, D. Samara-Rubio, D. Rubin, O. Cohen, R. Nicolaescu, M. Paniccia, © 2022.
Nanomaterials 12 00485 g010
Figure 11. Photodetector circuit model [54], © Cambridge University Press 2015. Reprinted by permission from Cambridge University Press: L. Chrostowski and M. Hochberg, Silicon photonics design: from devices to systems.
Figure 11. Photodetector circuit model [54], © Cambridge University Press 2015. Reprinted by permission from Cambridge University Press: L. Chrostowski and M. Hochberg, Silicon photonics design: from devices to systems.
Nanomaterials 12 00485 g011
Figure 12. Different types of photodetectors. (a) Lateral PIN photodetector [194]. Reproduced with permission from Optica Publishing Group. (b) Lateral metal/semiconductor/metal avalanche photodetector [197]. Reprinted by permission from Springer Nature: Nature “Reinventing germanium avalanche photodetector for nanophotonic on-chip optical interconnects,” S. Assefa, F. Xia, Y. A. Vlasov, © 2022.
Figure 12. Different types of photodetectors. (a) Lateral PIN photodetector [194]. Reproduced with permission from Optica Publishing Group. (b) Lateral metal/semiconductor/metal avalanche photodetector [197]. Reprinted by permission from Springer Nature: Nature “Reinventing germanium avalanche photodetector for nanophotonic on-chip optical interconnects,” S. Assefa, F. Xia, Y. A. Vlasov, © 2022.
Nanomaterials 12 00485 g012
Figure 13. Summary of the various recent complete interconnect systems. (a) Three cases based on 180 nm CMOS technology using a bulk silicon wafer. All-optical devices based on polysilicon. Each channel has a maximum of 5 Gbps; the reported speed is with WDM [49]. (b) Two-chip approach: optical chip built using III–V materials, integrated with an electronic chip built using 32 nm SOI CMOS technology. A reported speed of 30 Gbps refers to a single-channel [219]. (c) A zero-change approach based on a 45 nm SOI CMOS process that includes SiGe, demonstrated only one wavelength operation at 5 Gbps; the reported speed of 55 Gbps is the potential bandwidth if WDM is implemented [70]. (d) Eight 10 Gbps WDM channels, for a total speed of 80 Gbps. A 130 nm SOI was used for the optical devices, and a 40 nm CMOS was used for the electronic devices. The reported power consumption excludes ring tuning and laser power [217]. (e) A similar approach to (d), with a more efficient thermal tuning of modulators [218]. (f) A zero-change approach based on a 65 nm CMOS technology using a bulk silicon wafer, demonstrated only one wavelength operation at 10 Gbps and 600 fJ/bit power consumption. Reported speed and power consumption are achievable if WDM is implemented [220].
Figure 13. Summary of the various recent complete interconnect systems. (a) Three cases based on 180 nm CMOS technology using a bulk silicon wafer. All-optical devices based on polysilicon. Each channel has a maximum of 5 Gbps; the reported speed is with WDM [49]. (b) Two-chip approach: optical chip built using III–V materials, integrated with an electronic chip built using 32 nm SOI CMOS technology. A reported speed of 30 Gbps refers to a single-channel [219]. (c) A zero-change approach based on a 45 nm SOI CMOS process that includes SiGe, demonstrated only one wavelength operation at 5 Gbps; the reported speed of 55 Gbps is the potential bandwidth if WDM is implemented [70]. (d) Eight 10 Gbps WDM channels, for a total speed of 80 Gbps. A 130 nm SOI was used for the optical devices, and a 40 nm CMOS was used for the electronic devices. The reported power consumption excludes ring tuning and laser power [217]. (e) A similar approach to (d), with a more efficient thermal tuning of modulators [218]. (f) A zero-change approach based on a 65 nm CMOS technology using a bulk silicon wafer, demonstrated only one wavelength operation at 10 Gbps and 600 fJ/bit power consumption. Reported speed and power consumption are achievable if WDM is implemented [220].
Nanomaterials 12 00485 g013
Figure 14. Silicon photonics optical interconnect co-integrated with electronics to provide communication between the processor and memory [70]. Reprinted by permission from Springer Nature: Nature “ Single-chip microprocessor that communicates directly using light,” C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, B. R. Moss, R. Kumar, F. Pavanello, A. H. Atabaki, H. M. Cook, A. J. Ou, J. C. Leu, Y.-H. Chen, K. Asanović, R. J. Ram, M. A. Popović, and V. M. Stojanović, © 2022.
Figure 14. Silicon photonics optical interconnect co-integrated with electronics to provide communication between the processor and memory [70]. Reprinted by permission from Springer Nature: Nature “ Single-chip microprocessor that communicates directly using light,” C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, B. R. Moss, R. Kumar, F. Pavanello, A. H. Atabaki, H. M. Cook, A. J. Ou, J. C. Leu, Y.-H. Chen, K. Asanović, R. J. Ram, M. A. Popović, and V. M. Stojanović, © 2022.
Nanomaterials 12 00485 g014
Table 1. Insertion loss and bandwidth for the different types of fiber-to-waveguide couplers [58]. Adapted under CC BY.
Table 1. Insertion loss and bandwidth for the different types of fiber-to-waveguide couplers [58]. Adapted under CC BY.
Coupler TypeInsertion Loss1 dB Bandwidth1 dB Alignment Tolerance
1D grating coupler [59]1.6 dB40 nm±2.5 µm
1D grating coupler [60]1.6 dB40 nm±10 µm
2D Ggating coupler [61]3.2 dB35 nm±2.5 µm
Edge coupler [57]1.2 dB200 nm TE
150 nm TM
±500 nm
Evanescent coupler [62]1.0 dB>>40 nm>±2.5 µm
Table 2. Summary of various on-chip sources.
Table 2. Summary of various on-chip sources.
WorkExternal Laser NeededOperation TemperatureSizeEfficiencyMaximum Output PowerLinewidth
Si cone-shaped pores [74]Yes10–80 K 0.001%
Si CW Raman laser [77]Yes25 °C4.8 cm long cavity9.4%
Si pulsed Raman laser [76]Yes25 °C4.8 cm long cavity28%
InAs QDs on Si [82]No20–119 °C 37%
InAs/GaAs QDs on Si [84]No20–120 °C 105 mW
InAs QDs on GaAs on Si [83]No20–85 °C6 × 1341 µm231%185 mW
AlGaInAs quantum wells on Si [86]No15–40 °C860 µm long cavity12.7%1.8 mW
Hybrid microring [87] 20 to 50 µm diameter ring0.25–0.53%
AlGaInAs quantum wells on Si with diffraction grating [88]No25 °C 5%2.3 mW
Ring resonator Si/InP [89]No20 °C0.81 mm2 3 mW to 10 mW220 Hz to 2 kHz
InP amplifier/Si3N4 waveguide circuit [90]No25 °C 23 mW40 Hz
InAlGaAs multiple quantum well (MQW)/InP/Si/SiN extended-distributed Bragg reflector (E-DBR) [91]No20–75 °C1.5 to 2.5 mm gain section 10 to 25 mW1 kHz to 400 Hz
3 Hz (w/ultra-high-Q SiN photonics)
III–V distributed feedback (DFB) laser coupled to CMOS-ready ultra-high-Q Si3N4 microresonator [92]No 1.2 Hz
Table 3. Parameters for the silicon resonator-based modulators [165,168,173,179,180,181,182].
Table 3. Parameters for the silicon resonator-based modulators [165,168,173,179,180,181,182].
ReferenceResonator/Capacitor TypeQ-FactorEbit (fJ/bit)
[168]PC nanocavity/ITO MOS37003.25
[165]Micro-disk/vertical PN junction64801
[180]Micro-ring/interleaved PN junction14,50066
[181]Micro-ring/Si/oxide/Si MOS3500180
[179]Micro-disk/vertical PN junction970061
[182]Micro-ring/lateral PN junction14,50050
Table 4. Summary of various on-chip photodetectors.
Table 4. Summary of various on-chip photodetectors.
TypeTechnologyOperational Wavelength−3 dB BandwidthResponsivityDark Currentη-BW (GHz)
PINGe/SOI [186]1.55 µm42 GHz1 A/W18 nA33.6
Ge/130 nm SOI/90 nm CMOS [69]1.55 µm10 GHz0.7 A/W3 µA5.6
Si-LPIN GePD [194]1.3 µm
1.55 µm
44 GHz
67 GHz
0.93 A/W
0.74 A/W
4 nA39
39.7
CMOS [195]1.28 µm
1.55 µm
8 GHz
4 GHz
0.2 A/W
0.25 A/W
50 pA1.6
0.8
Ge-Fin [196]1.55 µm265 GHz0.3 A/W100 nA86
AvalancheGe M-S-M [197]1.3 µm
1.55 µm
40 GHz0.4 A/W
0.14 A/W
50 µA15.3
4.5
VPIN Ge [198]1.55 µm10 GHz0.6 A/W17 nA4.8
Defect-mediated Si [199]1.96 µm12.5 GHz0.3 A/W1 µA
MQWGeSn MQWs on Si [200]2 µm1.2 GHz0.023 A/W0.031 A
GeSn MQWs on Si [201]1.55 µm to 2 µm10 GHz0.2 at 1.55 µm44 mA
LPIN = lateral p-type/intrinsic/n-type; VPIN = vertical p-type/intrinsic/n-type; MSM = metal/semiconductor/metal; and MQW = multiple quantum well.
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Mekawey, H.; Elsayed, M.; Ismail, Y.; Swillam, M.A. Optical Interconnects Finally Seeing the Light in Silicon Photonics: Past the Hype. Nanomaterials 2022, 12, 485. https://doi.org/10.3390/nano12030485

AMA Style

Mekawey H, Elsayed M, Ismail Y, Swillam MA. Optical Interconnects Finally Seeing the Light in Silicon Photonics: Past the Hype. Nanomaterials. 2022; 12(3):485. https://doi.org/10.3390/nano12030485

Chicago/Turabian Style

Mekawey, Hosam, Mohamed Elsayed, Yehea Ismail, and Mohamed A. Swillam. 2022. "Optical Interconnects Finally Seeing the Light in Silicon Photonics: Past the Hype" Nanomaterials 12, no. 3: 485. https://doi.org/10.3390/nano12030485

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop