Next Article in Journal
A Roadmap to Integrate Digital Twins for Small and Medium-Sized Enterprises
Previous Article in Journal
Novel Application of Long Short-Term Memory Network for 3D to 2D Retinal Vessel Segmentation in Adaptive Optics—Optical Coherence Tomography Volumes
Previous Article in Special Issue
Logic Synthesis Strategy Oriented to Low Power Optimization
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A New, Fast Pseudo-Random Pattern Generator for Advanced Logic Built-In Self-Test Structures

Division of Digital Systems, Faculty of Automatic Control, Electronics and Computer Science, Silesian University of Technology, 44-100 Gliwice, Poland
Appl. Sci. 2021, 11(20), 9476; https://doi.org/10.3390/app11209476
Submission received: 1 September 2021 / Revised: 5 October 2021 / Accepted: 6 October 2021 / Published: 12 October 2021
(This article belongs to the Special Issue Energy, Area, and Speed—Efficient Digital Circuits)

Abstract

:
Digital cores that are currently incorporated into advanced Systems on Chip (SoC) frequently include Logic Built-In Self-Test (LBIST) modules with the Self-Test Using MISR/Parallel Shift Register Sequence Generator (STUMPS) architecture. Such a solution always comprises a Pseudo-Random Pattern Generator (PRPG), usually designed as a Linear Feedback Shift Register (LFSR) with a phase shifter attached to the register and arranged as a network of XOR gates. This study discloses an original and innovative structure of such a PRPG unit referred to as the DT-LFSR-TPG module that needs no phase shifter. The module is designed as a set of identical linear registers of the DT-LFSR type with the same primitive polynomial. Each register has a form of a ring made up exclusively of D and T flip-flops. This study is focused on the investigation of those parameters of DT-LFSR registers that are essential to use these registers as components of PRPG modules. The investigated parameters include phase shifts and the correlation between sequences of bits appearing at outputs of T flip-flops, implementation cost, and the maximum frequency of the register operation. It is demonstrated that PRPG modules of the DT-LFSR-TPG type enable much higher phase shifts and substantially higher operation frequencies as compared to competitive solutions. Such modules can also drive significantly more scan paths than other PRPGs described in reference studies and based on phase shifters. However, the cost of the foregoing advantages of DT-LFSR-TPG modules is the larger hardware overhead associated with the implementation of the solution proposed.

1. Introduction

Digital cores that are currently incorporated into advanced and up-to-date Systems on Chip (SoC) usually include Logic Built-In Self-Test (Logic BIST, LBIST) modules. A very popular LBIST architecture operated in the test-per-scan mode is STUMPS (Self-Test Using MISR/Parallel Shift register sequence generator) [1,2] (pp. 288–290), [3] (pp. 176–177), [4] (pp. 522–525), or various versions of it [5,6,7,8,9]. The structural diagram of a typical LBIST module with the STUMPS architecture as depicted in Figure 1 includes some key components, such as a generator of pseudo-random tests, or more specifically, a Pseudo-Random Pattern Generator (PRPG) and a Multi-Input Signature Register (MISR). The PRPG module is intended to supply pseudo-random sequences of bits simultaneously to all scan paths of a circuit to be tested, and these sequences are then delivered as parallel test vectors to inputs of combinational logic blocks of such a Circuit Under Test (CUT). A PRPG module is usually designed as a shift register with linear feedback (Linear Feedback Shift Register—LFSR) [3] (pp. 65–71, 176–177), although Cellular Automata (CA) can also be used for these purposes [3] (pp. 72–75), [10,11,12,13]. Some specific solutions may also comprise other types of linear registers such as PRPG modules, for instance Galois Linear Feedback Shift Register (GLFSR) [14,15,16]. Responses to test vectors gathered from outputs of a CUT are loaded in the parallel mode into scan paths, and then scan paths are switched to the serial mode and information from all scan paths is supplied to inputs of the MISR module where information is subject to compaction (lossy compression). The final content of the MISR is referred to as the signature, which provides information on whether the CUT is faulty or not. The substantial advantage of LBIST structures with the STUMPS architecture consists of the fact that such solutions offer much shorter testing times as compared to conventional built-in self-test solutions operated in the test-per-scan mode since pseudo-random sequences of bits are supplied simultaneously to a plurality of scan paths [3] (pp. 176–177). Although STUMPS architecture appeared as early as the 1980 s, it is still quite popular and constantly in use. There are numerous studies where researchers make efforts to improve the solution in terms of hardware overhead, testing time, power consumption, fault coverage, diagnosability, etc. [17,18,19,20,21,22,23,24,25,26]. Some variations of the STUMPS architecture are implemented into hybrid LBIST, where pseudo-random testing is combined with deterministic testing or test data compression [27,28,29,30,31,32].
The remainder of this study shall have the following structure. Section 2 deals with some problems with fault coverage that may happen to basic options of the LBIST structure with the STUMPS architecture and explains the reasons for such problems. Section 3 outlines how PRPG modules are usually designed to remedy the aforementioned problems. New concepts for the implementation of PRPG modules into LBIST circuits with the STUMP architecture are shown in Section 4, where the implementation of specific DT-LFSR ring linear registers is proposed. The innovative structure, operation principle, and key properties of DT-LFSR Test Pattern Generators (DT-LFSR-TPG), designed according to the concept shown in previous sections, are brought together in Section 5. The logical synthesis results of the new type of pseudo-random pattern generators are presented in Section 6. The study ends up in Section 7 with a discussion of the results obtained, recapitulation, and key conclusions.

2. Motivation

Requirements that are imposed to any Test Pattern Generator (TPG) usually include on one hand the need to guarantee a high coverage factor of faults that may occur in a CUT and, on the other hand, the acceptable testing time. Pseudo-random TPGs are frequently designed as conventional Linear Feedback Shift Registers (LFSR) based on primitive polynomials. The main cycle of the state diagram of such an n-bit register contains all 2n − 1 states except for the vector <00…0>. In addition, every LFSR register produces identical sequences of bits at each of its outputs, and these sequences are merely mutually shifted in phase. Every single sequence is of the pseudo-random nature, i.e., meets the requirements stipulated in [33] for pseudo-random binary sequences. Unfortunately, in spite of the foregoing properties attributable to conventional LFSRs, implementation of them as generators of pseudo-random test patterns may entail some problems with the fault coverage, which is strongly manifested for the STUMPS-type LBIST architecture. Namely, when a conventional LFSR with an external feedback loop (type I LFSR [34]) is implemented as a TPG into the mentioned LBIST architecture, some faults in the CUT may remain undetected due to an insufficient phase shift between bit sequences supplied to scan paths. The foregoing problem is illustrated by the example below.
  • Example 1
Figure 2 depicts a fragment of a circuit to be tested by means of five scan paths. Serial inputs of these scan paths are fed from parallel outputs of a five-bit type I LFSR designed for the primitive polynomial p ( x ) = x 5 + x 4 + x 2 + x + 1 . Outputs of that register produce identical pseudo-random sequences of bits but with a sequential phase shift. The figure depicts an example of content in the scan paths and the register, whilst dotted lines indicate interrelationships between contents of individual scan paths. Outputs of these scan paths cells feed inputs of exemplary gates included in the CUT (gates G1–G4). To make the picture more clear, the remaining part of the CUT, where outputs of the mentioned gates are wired, is not shown in the figure.
The foregoing example demonstrates that the following faults of the CUT are not detectable: stuck-at-1 at the output of the OR (G2) gate, stuck-at-0 at the output of the XOR (G4) gate, short (bridging fault) between inputs of the AND (G3) gate as well as an open break at the input of the CMOS transistor with the p channel (input A) within the NOR (G1) gate. The reason why those faults are undetectable lies in insufficient phase shift, actually equal to 1, between binary sequences produced at adjacent outputs of the TPG. Due to such an insufficient phase shift the content of both the j + kth and jth cells in the scan paths wired to respective ith and i + kth outputs of TPG shall be always equal to another (i = 0, 1,…, n − 2, j = 0, 1,…, L − 2, k = 1, 2,…, i + k < n, j + k < L), where n stands for the length of the TPG and L is the length of scan paths (for the example in question n = 5, L = 8). Therefore, the “00” pair of bits shall never appear at the A and B inputs of gate G2, which is mandatory to switch the gate output over to the state that is opposite to the fault, i.e., to zero. Likewise, it is also infeasible to deliver such combinations as AB = 01 or AB = 10 to inputs of the G4 gate, which is necessary to set the gate output to “1”. Moreover, both inputs of the G3 gate are always equal, so they appear to be shorted, and that makes it infeasible to detect the type of the actual fault. In turn, the detection of a fault affecting the G1 gate needs to deliver the test vector sequence “00,10” to the AB inputs. Since inputs of that gate also always adopt the same state during the entire testing process, the aforementioned sequence shall never occur.
The issue disclosed in Example 1 can be formulated in a more general way; i.e., a low phase shift between test bit sequences appearing at neighboring outputs of a pseudo-random TPG leads to a strong correlation between test vectors supplied to scan paths fed from these outputs. It is why these vectors may no longer be considered as pseudo-random ones, which adversely affects the fault coverage in digital CUTs with the STUMPS-type LBIST architecture [3] (pp. 177–181), [12,34,35,36,37]. In addition, page 322 in [38] shows an example to demonstrate that test vector sequences generated by an I-type LFSR and feeding parallel inputs of a circuit tested in the test-per-clock mode shall be incapable of detecting some faults in the digital circuit under test.
The concept of output channels from a Test Pattern Generator (TPG) frequently appears in reference studies. These channels are actually numerous scan paths fed from outputs of the TPG. The phase shift between binary bitstreams supplied to individual scan paths is also referred to as the separation factor between data channels or between scan paths’ content [3] (pp. 184–188), [13,14,34,37,39].
The low phase shift between bit sequences appearing at outputs of the type I LFSR register and their strong mutual correlation is also confirmed by the State-Time Diagram (STD) that depicts the sequence of test vectors produced by such a shift register [10]. For such an illustration of test vectors, each “0” appearing at any output of the register is represented by a white dot, whilst black dots stand for high (“1”) values of outputs.
Figure 3a shows an example of a 20-bit type I LFSR associated with the primitive polynomial p ( x ) = 1 + x 3 + x 4 + x 19 + x 20 , and a fragment of the STD graph for that register is depicted in Figure 3b. The Q0 output of the register corresponds to dots at the top of the graph, while the Q19 output is associated with dots in the graph bottom. The time axis for the register operation extends horizontally.
The register starts its operation from the state of <1000…00>. The STD graph from Figure 3b features with pretty regular shape since it has the form of oblique white and black stripes. One can easily spot that sequences of bits appearing at subsequent outputs of the LFSR register are gradually shifted by only one bit. Likewise, subsequent vectors appearing at outputs of that register are also gradually shifted by only one bit (except for the bit number 0). These properties indicate a strong correlation both between binary sequences and between subsequent vectors appearing at outputs of the LFSR register [10,12,35]. As a consequence, sequences of test vectors produced by such a register must not be considered as fully pseudo-random ones, both for the test-per-scan and test-per-clock testing strategies [10,40]. Similar observations are reported in [38] with respect to poor randomness of test vectors sequences delivered at outputs of a conventional LFSR.
The strong correlation between sequences of test bits appearing at outputs of the type I LFSR is also confirmed by the graph plotted in Figure 4 for the correlation coefficient. The correlation coefficient C i , j ( τ ) for binary sequences is calculated from the formula [33]:
C i , j ( τ ) = 1 T × t = 0 T 1 q i ( t ) × q j ( t + τ ) ,
where q i ( t ) and q j ( t ) stand for the values of the corresponding ith and jth outputs of the register at the moment of t, while i = 0 , 1 , ߪ n 1 , and T is the length of binary sequences used to calculate the correlation coefficient. The parameters of τ represent the time offset (phase shift) between binary sequences at the outputs q i and q j , where test sequences are observed and the difference between indexes and j i is considered as the space distance between these two sequences. When j = i , the function C i , i ( τ ) calculates the autocorrelation coefficient for the binary stream appearing at the qi output. For all other cases, i.e., when i j , the function C i , j ( τ ) calculates the coefficient of cross correlation between binary sequences produced at the outputs q i and q j . That coefficient can be calculated either for the entire period of the register operation, in which T = 2 n 1 in Equation (1), or for the actual length of the test sequence. It must be also kept in mind that for computation of the correlation coefficient by means of the equation (1) all parameters qi(t) = 0 shall be substituted with the values of “−1” [33].
In the graph depicted in Figure 4, the horizontal axes show the time offset τ expressed in the number of clock cycles (the axis designated as “time offset τ”) as well as space distance, understood as the number of the register output (the axis designated as “output no”). In turn, the vertical axis (“correlation coefficient”) is graduated with the values of correlation coefficients. The coefficient is calculated with respect to the binary sequence appearing at the q0 output with no time offset. The graph presented in Figure 4 demonstrates that in the case of a conventional type I LFSR register, the coefficient of correlation between the binary sequence appearing at the qi output and the corresponding sequence at the q0 output but delayed by i clock cycles adopts the maximum value, i.e., equals 1. On the other hand, the correlation coefficient between a bit sequence at any of the qi outputs and the bit sequence at the q0 output and delayed by j clock cycles, where ji, adopts really small values and ranges from −0.02 to 0.02, which is shown in Figure 5.
As mentioned before, not only the LFSR register can be applied as a PRPG with the LBIST arrangement and the STUMPS architecture, but linear registers of the CA or GLFSR can be used as well. The most frequent design option of the CA register is the one-dimensional Linear Hybrid Cellular Automata (LHCA) with null boundary conditions, made up of cells with the rules 90 and 150 [10,12,41,42,43,44]. In a later part of this study, such a design option of the CA register shall be designated as LHCA 90/150. The reference literature also reports the application of two-dimensional LHCA to LBIST solutions, where a portion of cells is interconnected with at least three neighboring cells [45,46,47,48]. Additionally, the concept of the TPGs design as an LHCA with the hierarchical structure was developed [49]. However, all the aforementioned solutions suffer from some drawbacks that are discussed below.
The [12] reports that the separation coefficient between output channels of the LHCA 90/150 adopts pretty different values, and some of these values are very low. In addition, the information only about the configuration of the LHCA 90/150 register is insufficient for an unambiguous indication of the register outputs affected by the mentioned problem. The foregoing observation is also confirmed in [14], even with an additional conclusion that the problem with insufficient separation between a portion of output channels relates to as much as about 75% of structures developed for LHCA 90/150 registers associated with primitive polynomials. Similarly, two-dimensional Tree-structured Linear Cellular Automata (TLCA) also exhibited very low values of the phase shift between a portion of bit sequences appearing at outputs of the register [46].
In the case of two-dimensional LHCAs, at least some cells are interconnected with outputs of more than two neighboring cells [45,47,48]. Therefore, these cells comprise at least two XOR gates that are arranged in series. The need to use multi-layered networks of XOR gates is also a drawback of CA solutions with hierarchical structures [49]. Likewise, a portion of wires in the linear feedback of a GLFSR register requires a serial arrangement of XOR gates used as so-called multiplying units and other XOR gates, which are the so-called adding units [16]. Eventually, the need to use at least two-layered networks of XOR gates in feedback lines of the aforementioned linear registers mitigates the maximum operation frequency of such solutions.
The use of CA or GLFSR structures as PRPGs in LBIST circuits with the STUMP architecture needs the determination of phase shifts between individual bit sequences appearing at outputs of such registers. Some methods that have been applied for that purpose are actually based on simulation of CA or GLFSR modules by means of matrix operations and seeking adequate states of the register where single bits are set to “1” [43,50]. However, such methods are very time-consuming, which is confirmed in [12], where the algorithm developed in [50] is applied. Due to the very long computation time necessary to find out phase shifts between binary sequences at outputs of the LHCA 90/150 structures, the overall length of CA registers investigated in the mentioned study had to be limited. In turn, studies [11,51] report the application of an algebraic method based on discrete logarithms [52,53] (pp. 97–111) to compute the phase shifts. Unfortunately, all algorithms that are suitable for the calculation of discrete logarithms [53] (pp. 97–111) are very complex, and the application of the mentioned methods is practically limited to linear registers of a relatively short length.

3. Classical Method to Design LBIST Circuits with the STUMPS Architecture

The previous chapter outlines reasons that prevent us from achieving high coverage of faults in CUTs that are provided with LBIST circuits with the STUMPS architecture. These problems stem from the low phase shift between binary sequences appearing at outputs of pseudo-random TPGs that are designed exclusively on the basis of a conventional LFSR structure associated with a primitive polynomial. This is why a phase shifter [3] (pp. 184–188), [54] is usually inserted between outputs of the LFSR [34,35,36,37,55] or LHCA 90/150 [12,37,39,55,56] and serial inputs of scan paths incorporated into the CUT. Such a modified STUMPS architecture is shown in Figure 6. In a later part of this study, the TPG provided with a phase shifter at its outputs shall be referred to as a test pattern generator based on LFSR or CA with Phase Shifter at the outputs and designated as LFSR + PS and CA + PS, respectively. The phase shifter itself is a network of XOR gates appropriately wired to outputs of the LFSR or CA register.
Its application not only makes it possible to provide sufficient phase shift between binary sequences supplied to serial inputs of scan paths but also enables incorporation of LFSR structures of much lower size than the number of scan paths ( n     m in Figure 6). For instance, in [34], the authors used LFSR registers with lengths of 24, 32, 48, 64, 80, 96, and 128 bits to produce test sequences supplied then to multiple scan paths, where the number of scan paths fed from a single TPG ranged from several dozens to more than 8000. However, the use of a phase shifter inevitably entails a delay introduced into the hardware, which is a drawback of the proposed solution. The time of signal propagation throughout the phase shifter is several times longer than the propagation time of multiplexers incorporated into scan path cells [34], and, in addition, that time is added to the propagation time of the multiplexer embedded into the first cell of a scan path. These two factors restrict the maximum operation frequency of the test facilities made up of TPG and scan paths. It eventually leads to an extended total time of test execution.
In addition, when a single LFSR feeds a plurality of scan paths, things get worse due to the need to route interconnections within a sophisticated network of XOR gates incorporated into a phase shifter. Typically, the desired fan-out factor is achieved by incorporation of additional buffers (logic amplifiers) wired to the flip-flops’ outputs of the LFSR and to some XOR gates outputs of the phase shifter. These two factors lead to further growth of propagation time for signals passing the phase shifter and make the engineering process for test pattern generators much more complicated.
The studies referenced disclose several methods to design phase shifters with the aim to ensure the assumed value of the phase shift between output data channels. Some of these methods are based on operations on digital matrices that describe structures of linear registers and phase shifters connected to the LFSR or CA outputs [37,57]. Other solutions employ simulation of a linear register operation, where the simulated linear register structure reflects the layout of an original linear register combined with a phase shifter. However, it is an approach that may prove time-consuming in the case of long linear registers [34,35,36,39,56]. Additionally, other methods have been developed intending to find out such structures of both an LFSR register and a phase shifter that are capable of generating the desired set of deterministic test vectors [22,58].

4. New Approach to Engineering of Pseudo-Random Pattern Generators for LBIST Circuits with the STUMPS Architecture

The engineering concept disclosed in this chapter is based on the application of DT-LFSR (Linear Feedback Shift Register composed of D- and T-type flip-flops) shift registers with a linear feedback [38,59] to new types of pseudo-random TPGs for LBIST circuits with the STUMPS architecture. However, before the structure of the innovative TPG is revealed, let us outline some selected properties of DT-LFSR registers.

4.1. Layout of a DT-LFSR Register

Any DT-LFSR is designed as an endless ring of D and T flip-flops connected in series. The principal schematic of an n-bit DT-LFSR register comprising k flip-flops of the D type and t = n k flip-flops of the T type is depicted in Figure 7. In this study, such a structure of a DT-LFSR register shall be symbolically designated as DkTt. Attention should be paid to the fact that such a register contains no XOR gates in the main loop of the linear feedback. Instead, it contains XOR gates in internal linear feedback loops inside T-flip-flops. A T-type flip-flop is actually a D-type flip-flop with an XOR gate in a feedback loop. Therefore, the characteristic polynomial p ( x ) that corresponds to the linear feedback of an n-bit DT-LFSR from Figure 7 adopts the following form:
p ( x ) = 1 + x k ( 1 + x ) t ,
where k + t = n . It is important to mention that any rearrangement of the register layout with reordering of the D and T flip-flop sequence in the ring register has no effect on the characteristic polynomial p ( x ) of that register. Relocation of T-type flip-flops in such registers can be actually considered as a sequence of left shift operations applied to local linear feedback loops. Such a procedure was named in [60] as the EL transformation. According to Theorem 1 disclosed in that study, such modifications of linear register structures are indifferent to the characteristic polynomial of the register.
It is also worth mentioning that a DT-LFSR register is actually a specific variation of Linear Hybrid Cellular Automata (LHCA) with cyclic boundary conditions, made up of cells with the rules 60 and 240. Each T-flip-flop is a CA cell with the rule 60 while a D-flip-flop is a CA cell with the rule 240. A table summarizing all possible structures of DT-LFSR registers with the length of n [ 15 , 100 ] and a linear feedback loop described by means of a primitive polynomial can be found in some past papers, including [38]. The table content serves as the proof that for the specific length n of a DT-LFSR register only some combinations of k and t parameters lead to such a DkTt structure of the register that corresponds to a primitive characteristic polynomial. Each of these structures contains at least one D-type flip-flop (i.e., k > 0 for every case). In addition, for some specific lengths n of registers, any DT-LFSR structure associated with a primitive characteristic polynomial may not exist.

4.2. Correlations between Binary Sequences at Outputs of T-type Flip-Flops Incorporated into DT-LFSRs

Section 2 discloses the STD graph for the sequence of test vectors and the graph of the correlation coefficient for binary sequences appearing at outputs of a conventional 20-bit type I LFSR register. These parameters are deemed as the key quality indices for a register operated as a generator of pseudo-random sequences of test vectors. These two graphs for the DT-LFSR register with the D3T17 structure (see Figure 8a), associated with the primitive polynomial: p ( x ) = 1 + x 3 ( 1 + x ) 17 = 1 + x 3 + x 4 + x 19 + x 20 , are depicted in respective graphs in Figure 8b and Figure 9.
The STD graph for the aforementioned register is less regular than the graph for a conventional LFSR structure since oblique stripes, typical for conventional LFSR registers, are seen only in the areas that correspond to D-type flip-flops. Instead, in areas corresponding to T-type flip-flops, white triangle-shaped patterns can be seen at random locations. The foregoing observations are coherent with the findings reported in [38] with regard to test vector sequences generated by linear feedback registers with T-type flip-flops. In turn, the graphs for correlation coefficients clearly indicate that only the binary sequences appearing at outputs of D-type flip-flops are strongly correlated. On the other hand, the correlation coefficient for binary sequences produced at outputs of T-type flip-flops ranges from −0.02 to 0.02 (see Figure 10); i.e., it is extremely low.

4.3. Phase Shifts between Binary Sequences at Outputs of T-type Flip-Flops Incorporated into DT-LFSRs

This section is dedicated to investigating phase shifts between bitstreams at the outputs of D- and T-type flip-flops in the DT-LFSR type ring registers. Let us consider an n-bit register with the DkTnk structure and associated with the primitive polynomial p ( x ) = 1 + x k ( 1 + x ) n k . Let us also denote the phase shift introduced by D-type and T-type flip-flops present in that register as Φ D and Φ T , respectively. The analysis carried out in [38] makes it possible to find out that Φ D = 1 , while the phase shift Φ T can be calculated from the following congruence:
( n k ) ×   Φ T + k     0 ( m o d   2 n 1 ) ,
Please note that the phase shift Φ D is identical for all D-type flip-flops incorporated into the DT-LFSR structure; likewise, the phase shift Φ T is the same for all T-type flip-flops within that register. In addition, the congruence (3) indicates that Φ T 1 for every n > 1. According to Corollary 2 in [53] (p. 20), when g.c.d. ( n k , 2 n 1 ) = 1 , the Φ T solution of the Equation (3) always exists, and the solution can be found by means of O ( log 3 ( 2 n 1 ) ) binary operations. In practice, for the example when n = 100 and k = 63 , the Φ T solution of the Equation (3) was found in less than 4 s with the use of a calculator available online [61]. The n and k parameters provided in that example correspond to the only D63T37 structure of a DT-LFSR register with the length of 100 bits that is associated with a primitive polynomial.
  • Example 2
Figure 11 shows a sequence of test vectors produced by a register of the DT-LFSR type with the D1T2 structure. That register is associated with the primitive polynomial p ( x ) = 1 + x + x 3 = 1 + x ( 1 + x ) 2 . Therefore, the respective coefficients are n = 3 and k = 1 . For the example in question, the congruence (3) adopts the following form:
2 × Φ T + 1     0   ( m o d   7 ) ,
which indicates that the phase shift introduced by the T-type flip-flops is Φ T = 3 . Obviously, the phase shift introduced by D-type flip-flop is Φ D = 1 .
The magnitude of phase shift between binary sequences produced at the adjacent outputs of the foregoing DT-LFSR register with the D1T2 structure is explained in Figure 11, where arrows indicate corresponding bits in mutually shifted binary sequences. To make the picture more clear, the binary sequence appearing at the Q2 output is repeated at the left-hand side of the binary sequence produced at the Q0 output. In addition, the numbering of clock cycles is shown in the leftmost part of the figure for easy calculation of the phase shift.
The congruence (3) also enabled calculation of the phase shift Φ T for DT-LFSRs with the length exceeding 24 bits and containing a considerable number of T-type flip-flops (more than 80% of the total register length). Results of these calculations are summarized in Table 1, where the overall number of T-type flip-flops within each specific register and the total length of the register are provided in columns 2 and 3 of that table, respectively, column 4 specifies the duration (length) of the operation cycle for each specific register, and column 5 contains the Φ T parameters, i.e., the phase shift between binary sequences appearing at the output and the input of a T-type flip-flop within the DT-LFSR register in question.
Now let us assume that an n-bit register of the DT-LFSR type contains t flip-flops of the T type that are deployed in register cells with the numbers n t ,   n t + 1 , ,   n 1 . Let us also denote Φ T i , j as the phase shift between binary sequences produced at the outputs i and j of the register in question, where i ,   j { n t ,   n t + 1 , ,   n 1 } ; i.e., it is a phase shift between binary sequences appearing at outputs of two selected T-type flip-flops within the register. The phase shift Φ T i , j is then
Φ T i , j = ( i j ) × Φ T m o d   Ω ,
where = 2 n 1 stands for the aforementioned duration of the operation cycle for the DT-LFSR register. For negative numbers, the operation mod appearing in (5) is calculated according to the formula a < 0     a   m o d   Ω Ω ( | a |   m o d   Ω ) . Please note that the phase shift Φ T i , j is calculated as modulo  , and thus it can be less than Φ T . Therefore, let us define the minimum phase shift— Φ T m i n —between binary sequences produced by two discretely selected T-type flip-flops of the DT-LFSR registers. Such a minimum phase shift is defined by the following equation:
Φ T m i n = min i , j { n t , n t + 1 , . n 1 } ,   i j ( Φ T i , j )
The minimum phase shift Φ T m i n for some selected DT-LFSR registers are summarized in column 6 of Table 1. The foregoing deliberations take account of binary sequences merely at outputs of T-type flip-flops since only these flip-flops introduce a phase shift that is different from 1. Therefore, only the outputs of T-type flip-flops can be exclusively used as outputs of a Test Pattern Generator.

5. Pseudo-Random Pattern Generator DT-LFSR-TPG for LBIST Circuits with the STUMPS Architecture

Let us denote Ψ m i n as the minimum guaranteed phase shift between binary sequences produced at outputs of any TPG applicable for the STUMPS architecture. Results from Table 1 demonstrate that a single DT-LFSR register used as a pseudo-random TPG enables a pretty high level of phase shift that is much more than the one achieved by means of the methods disclosed in [13,34,35,39].
The number of scan paths implemented in LBIST structures with the STUMPS architecture and incorporated into modern integrated circuits is as high as several thousand [25]. The implementation of a PRPG as such a long and single DT-LFSR register is a sophisticated engineering challenge. There are difficulties associated with development for the register topology as well as a network of interconnections between its cells and a network of interconnections between the register outputs and inputs of scan paths so as to achieve the desired operational frequency of such a TPG. The next problem is associated with seeking an appropriate DkTt structure associated with a primitive polynomial with the length of several thousand bits. The verification of whether the characteristic polynomial of such a register is really a primitive one can be carried out by means of the efficient algorithm proposed in [39,62]. However, such a solution needs prime factorization of a very large number expressed as 2 n 1 , where n stands for the length of the DT-LFSR register.
The aforementioned problems can be resolved by means of the original method and the innovative method outlined in this paper dedicated to the implementation of PRPG structures as a set of DT-LFSR registers operated in the parallel mode. The STUMPS architecture with a TPG designed according to the foregoing concept is depicted in Figure 12. All DT-LFSR registers of such a test pattern generator are of identical design and are synchronized by means of a common clock signal and their operation is controlled simultaneously by the same control signals. Outputs of T-type flip-flops incorporated into each single register deliver sequences of test vectors to a separate group of scan paths, whilst outputs of D-type flip-flops remain unused, which is indicated in the sketch below. A Test Pattern Generator with such a structure shall be further referred to as DT-LFSR-TPG.
According to [34,35], the minimum phase shift Θ m i n between binary sequences produced at any two outputs of a future TPG is imposed a priori before the engineering of a pseudo-random TPG for the STUMPS architecture is commenced. The sufficient level of that phase shift is deemed as a guarantee that a sequence of test vectors supplied to inputs of the CUT shall be of a pseudo-random nature. Any properly designed TPG must meet the condition that Ψ m i n Θ m i n ; i.e., the minimum phase shift between binary sequences appearing at outputs of such a TPG must be not less than the required limit imposed by the circuit designer. To meet the foregoing requirement, each single-DT-LFSR register incorporated into the DT-LFSR-TPG structure of the TPG must start its operation from a different seeding vector (i.e., from a different initial state). Let us assume that the seeding content S1 of the DT-LFSR1 register is adopted as the reference point and let us also assume that such a seeding content appears in the sequence of vectors produced at outputs of the foregoing register in the clock cycle No. 0. Therefore, the seeding content Si of any DT-LFSRi register should be included in the initial sequence in clock cycle d i , where d i can be expressed by means of the following formula:
d i = ( i 1 ) × Θ m i n , i = 2 , 3 , , Z
If so, binary sequences produced at the rth outputs of the DT-LFSR1 and DT-LFSRi registers, where r = 0 , 1 , , n 1 , shall be mutually shifted with the phase shift of di clock cycles.
The maximum number of scan paths m m a x that can be wired to outputs of a TPG with the specific minimum limits for Θ m i n and Φ T m i n shall be defined by Equation (8).
m m a x = Φ T m i n Θ m i n
The maximum numbers m m a x calculated from the foregoing equation are summarized in Table 2, where columns 2 and 3 of the table contain parameters of DT-LFSR registers incorporated into the TPG. The number of scan paths for various Θ m i n is provided in columns 4 to 9. The Θ m i n are adequately selected so as to cover the range assumed in [34,39]. Please note that in cases when the presumed offset Θ m i n is known, Table 2 makes it possible to find out n and t parameters of the appropriate DT-LFSR register to enable designing of a TPG that is able to supply test sequences to any number of scan paths, or at least as many as practicable.
For comparison, Table 3 summarizes the maximum number of scan paths that were obtained in [34,39] for similar phase shifts Θ m i n as included in Table 2. The LFSR I and LFSR II symbols in the first column of the table correspond to the test pattern generator of the LFSR + PS type as outlined in [34], where the phase shifter is wired to outputs of LFSR registers of the respective I and II types. In turn, the LHCA 90/150 symbol stands for the generator of the CA + PS type designed to produce pseudo-random tests and including the LHCA 90/150 register and a phase shifter. In addition, Table 3 comprises results for two lengths of LFSR and LHCA 90/150 registers designed to control phase shifters, where the length (n) can be either n = 24 or n = 32 bits. The comparison between Table 2 and Table 3 clearly indicates that for the same separation between channels, the DT-LFSR-TPG is able to feed more or even sufficiently more scan paths than the solutions disclosed in [34,39]. It is only necessary to properly select the n and t parameters of the DT-LFSR register that is then used to design the DT-LFSR-TPG structure. For instance, all DT-LFSR registers with the n and t parameters listed in lines 5 to 24 of Table 2 make it possible to design a PRPG of the DT-LFSR-TPG type with the m m a x parameters that exceed the ones provided in Table 3.
To emphasize advantages of DT-LFSR-TPG structures, Table 4 summarizes the maximum number m m a x of scan paths that can be fed from a DT-LFSR-TPG structure for much higher phase shifts Θ m i n (separation offset between channels) than the ones considered in Table 2 and Table 3. Please note that when the phase shift Θ m i n is as high as tens of trillions of clock cycles, it is also possible to find a DT-LFSR register with appropriate n and t parameters to use it as the basis to design a DT-LFSR-TPG structure capable of feeding any number of scan paths.
Not only is m m a x essential for the engineering of a test pattern generator, but also the minimum phase shift Ψ m i n between binary sequences supplied by the TPG to inputs of the predefined number m of scan paths. The minimum phase shift is expressed by (9).
Ψ m i n = Φ T m i n m
Table 5 summarizes parameters Ψ m i n for various numbers of scan paths fed from the TPG, where the numbers of scan paths are almost identical to the ones in [34,39]. The analysis of the table contents makes it possible to conclude that for a specific number of scan paths a suitable DT-LFSR register can be selected so that the required phase shift can be assured. The maximum limit of the phase shift taken into account in studies [34,39] is 4096 × 10 4 . On the contrary, the figures from Table 5 indicate that the phase shift (separation factor for output channels) enabled by the DT-LFSR Test Pattern Generator (DT-LFSR-TPG) for a specific number of scan chains can be higher by several magnitudes than numbers offered by LFSR + PS and CA + PS solutions disclosed in aforementioned studies.

6. Results of Logic Synthesis

Other parameters that are essential for any test pattern generator include the maximum operational frequency and the cost of hardware implementation, expressed, for instance, as the area of silicon surface occupied by the TPG or as the number of equivalent two-input NAND gates. The foregoing properties for the innovative type of DT-LFSR-TPG pseudo-random pattern generators were established from the logic synthesis of selected DT-LFSR registers. For that purpose, a parameterized model of a DT-LFSR register was developed in the VHDL language, where the general layout of the register is shown in Figure 13a. Besides D-type flip-flops and a 2 to 1 multiplexer, the register also comprises configurable D/T flip-flops with the internal structure as shown in Figure 13b. Corresponding to the input signal M, such a circuit can perform as a D-type flip-flop (for M = 0) or a T-type flip-flop (when M = 1). Variations of parameters for the VHDL model make it possible to set up both the register length and the number of configurable D/T flip-flops included in the register, while the MODE signal shown in Figure 13a is dedicated to controlling the operation mode for the entire DT-LFSR register module. For MODE = 1, the module operates as a DT-LFSR linear shift register, whilst setting the MODE signal to zero switches the module to operation as a shift register, and its initial content can be seeded by feeding a corresponding series of bits to its serial input SI. The module also has a serial output SO, which enables serial sequencing of DT-LFSR registers included in a large DT-LFSR-TPG unit, where the SO output of the ith register is connected to the SI input of the register with the number ( i + 1 ), where i = 1 ,   2 ,   Z 1 , and Z stands for the total number of DT-LFSR registers included into the test pattern generator. When MODE = 0, all DT-LFSR modules make up a single and long shift register, which makes it possible to seed any initial content of that registers.
The logic synthesis of DT-LFSR registers was carried out with the use of the commercial software LeonardoSpectrum from Mentor Graphics, Wilsonville, OR, US (currently Siemens EDA), as well as the TSMC 0.25 µm standard cell library included in the ASIC Design Kit rev. 3.1, also made available by Mentor Graphics, Wilsonville, OR, US. The decision to use this particular standard cell library was triggered by the fact that the same technology, with 0.25 µm, was used in [34] for synthesis of phase shifters investigated in that study. It enables a reliable comparison of experimental results disclosed in the further part of this paper against the ones discussed in [34].
Nevertheless, it should be mentioned herein that the structure of the DT-LFSR register is ring-shaped; therefore, it enables substantial reduction of length for all interconnections between the register cells and, in consequence, delays caused by these interconnections. To achieve the most satisfying results for the DT-LFSR structure, it is recommended that the so-called interleaving technique be applied [38,63,64]. The topology example of a D3T17 register together with a network of interconnections between its cells, obtained by means of the mentioned interleaving technique, is shown in Figure 14. The rectangles designated in the picture with letters “D” and “T” stand for corresponding standard cells with both D flip-flops as well as configurable D/T flip-flops, whereas the rectangle designated with “M” corresponds to a multiplexer 2 to 1. Inputs and outputs of standard cells are deployed on the bottom and top edges of these cells. Directions of signal flows are indicated with an arrow for each interconnection presented in the picture. To achieve better clarity of the picture, the line of the clock signal is omitted. The only long interconnection in an DT-LFSR register as shown in Figure 14 is the line of the MODE signal and the interconnecting line between the output of the flip-flop No. 19 and the SO output of the entire module. Nevertheless, propagation time down these interconnections has no impact on the maximum operational frequency of the register in the testing mode.
Synthesis results summarized in Table 6 served as the basis to calculate hardware costs of DT-LFSR Test Pattern Generator (DT-LFSR-TPG) dedicated to LBIST structures with the STUMPS architecture and with 128, 256, 512, 1024, 2048, and 4096 scan paths within the structure. The numbers of scan paths selected for experiments were exactly the same as in Table IX in [34] to simplify a comparison of cost and maximum operational frequencies between the test generators investigated in this study and the ones shown in [34]. The results from the mentioned calculations are provided in Table 7, where columns 2 and 3 of the table specify t and n parameters of DT-LFSR registers with the Dn−tTt structure incorporated into the DT-LFSR Test Pattern Generator (DT-LFSR-TPG). Corresponding implementation costs for each test pattern generator feeding the specific numbers of scan paths are listed in columns from 4 to 9. Similarly to Table 6, these costs are expressed as the number of equivalent two-input NAND gates. The grey background highlights solutions with the lowest cost for the specific number of scan paths. For instance, when an LBIST circuit with the STUMPS architecture contains 1024 scan paths, the cheapest test pattern generator of the DT-LFSR-TPG type is made up of 17 DT-LFSR registers and each of these registers can be designated as D1T62. The overall cost of such a solution equals 7837 equivalent two-input NAND gates. Some supplementary details, separated with commas and related to structures of DT-LFSR-TPG units feeding the specific number of scan paths, are provided in Table 8, which has exactly the same layout as Table 7 but slightly different content. These details are the Z number of DT-LFSR registers with the DntTt structure incorporated into the test pattern generator as well as the number of “redundant” flip-flops of the D and T types present in the structure of the DT-LFSR-TPG unit. The term “redundant” flip-flops refers to the flip-flops of both the D and T types with outputs that are not connected to any scan paths (not used to feed any scan path). On the other hand, these flip-flops are indispensable to design Z properly operating DT-LFSR registers with the DntTt structures and incorporated as components of the DT-LFSR-TPG unit.
The maximum operational frequency of the DT-LFSR-TPG unit in the testing mode is the same as for DT-LFSR registers included as components of the unit and equals 994 MHz. However, the interleaving technique may be necessary for the topology of the unit to achieve the maximum possible operation frequency of the DT-LFSR registers (see Figure 14). Eventually, it leads to long interconnections in the layout of the DT-LFSR-TPG unit between SO outputs of preceding DT-LFSR registers and SI inputs of subsequent registers. It is why the operating frequency of the TPG unit may be slightly lower in the seeding mode (serial shift) than in the testing mode.
Figures provided in Table IX of [34] were used to calculate implementation costs and maximum operational frequencies for test pattern generators of the LFSR + PS types, where each test pattern generator of that type is made up of an LFSR register with a phase shifter connected to its outputs. The calculation results are summarized in Table 9. The investigations were dedicated to LFSR + PS test pattern generators with LFSR registers, where feedback loops of these registers are defined by means of the following primitive polynomials [34]:
  • h 1 ( x ) = x 32 + x 31 + x 5 + x 4 + 1
  • h 2 ( x ) = x 48 + x 31 + x 22 + x 5 + 1
  • h 3 ( x ) = x 64 + x 53 + x 23 + x 12 + 1
  • h 4 ( x ) = x 80 + x 70 + x 13 + x 3 + 1
  • h 5 ( x ) = x 96 + x 82 + x 49 + x 35 + 1
  • h 6 ( x ) = x 128 + x 29 + x 27 + x 2 + 1
Each polynomial was investigated for two options of a linear register designed according to that polynomial, namely an LFSR register with an external feedback loop (type I LFSR [34]) and an LFSR register with an internal feedback loop (type II LFSR [34]). The numbers of scan paths fed from a test pattern generator of the LFSR + PS type are listed in the first column of Table 9. For each test pattern generator, the table contains two numbers, one above the other. The first number stands for the implementation cost of an LFSR + PS test pattern generator, expressed as the number of equivalent two-input NAND gates and comprises both the cost of a phase shifter and the one of an LFSR register. The second number is the maximum operating frequency of a TPG unit expressed in megahertz (MHz). The frequency was calculated with consideration of delay time contributed by the tree of XOR gates in the phase shifter as well as the propagation time of the 2-to-1 multiplexer and setup time of the D-type flip-flop that are incorporated into the first cell of each scan path. In addition, the asterisk “*” was used to indicate the maximum operational frequencies of LFSR + PS units, when the frequency is not limited by the operation of the phase shifter but by the time of signal propagation down the feedback loop of an LFSR register.
Both the parameters discussed above were mutually compared for test pattern generators of the DT-LFSR-TPG type and the LFSR + PS type and comparison results are summarized in Table 10 with the same layout as Table 9 but slightly different content. The topmost number in each cell of the table says how much (in percentage) the hardware overhead contributed by the DT-LFSR-TPG unit exceeds the corresponding overhead attributable to the TPG unit of the LFSR + PS type. In turn, the bottommost number in the table cell informs how much (in percentage) the maximum operating frequency for the DT-LFSR Test Pattern Generator (DT-LFSR-TPG) exceeds the frequency offered by a corresponding LFSR + PS solution. The comparison was carried out for those DT-LFSR-TPG units that offer the cheapest cost for the specific number of scan paths fed from the TPG unit (pursuant to information from Table 7).
The maximum operating frequency of DT-LFSR-TPG units exceeds the corresponding frequency for LFSR + PS solutions given in [34] by 20% to 191%. The discrepancy between operation frequencies for both test pattern generators tends to increase in pace with the number of scan paths fed by these TPG units. The higher operating frequency of a TPG unit enables the reduction of testing time, which, in turn, contributes to a reduction in expenses for the entire testing process of integrated circuits. However, the benefit is paid by much higher hardware overhead associated with the use of test pattern generators of the DT-LFSR-TPG type, where such an overhead may be higher by 17% to 223% than the LFSR + PS modules given in [34].
However, one has to keep in mind that the silicon area assigned for a network of interconnections between flip-flops and gates included in these circuits is not taken into consideration for both solutions of test pattern generators. The delay (propagation) time introduced by these interconnections is also ignored. For pseudo-random pattern generators of the DT-LFSR-TPG type, this is permissible since the layout of interconnection networks in such TPGs is regular and the networks are of a local nature, in particular when the topology of components that make up the circuits is carefully designed. This is why only a slight impact of these interconnections on the overall circuit surface and the maximum operating frequency can be assumed. On the contrary, the network of interconnections in test pattern generators of the LFSR + PS is much more chaotic with numerous branches. It particularly refers to LFSR + PS modules with a large number of output channels, where the area occupied by interconnections takes a significant portion of the entire area assigned to the test pattern generator. Consequently, propagation times down these interconnections also have a substantial impact on the maximum operating frequencies of such TPGs.

7. Discussion and Conclusions

This study is intended to present a new concept for the engineering of a Test Pattern Generator (TPG) capable of producing pseudo-random test vectors for scan path testing of the STUMPS architecture. The innovative structure assumes the implementation of multiple Linear Feedback Shift Registers with D-type and T-type flip-flops (DT-LFSR) with identical layouts. Such a TPG, designated herein as DT-LFSR-TPG, enables a substantial increase in phase shift between binary sequences appearing at the TPG outputs compared to phase shifters described in [34,39]. What is also important in such TPGs is that the acceptable separation factor (phase shift) between output channels is guaranteed for any pair of the TPG outputs, quite the opposite to other solutions; for instance, the one disclosed in [13], where such an offset is guaranteed only for adjacent outputs. Moreover, with the assumption of the minimum phase shift, the DT-LFSR-TPG circuits also make it possible to wire more scan paths to the TPG outputs as compared to the numbers achieved in [34,39].
The DT-LFSR-TPG modules also outrank the test pattern generators of the LFSR + PS type as proposed in [34] in terms of the maximum operating frequency. The divergence between operation frequencies becomes even more significant when the number of TPG output channels increases. The high generation frequency for pseudo-random test patterns, achieved for DT-LFSR-TPG solutions, enables substantial reduction of the testing time and, in consequence, reduction of expenses for testing. However, the higher hardware overhead, as compared to solutions outlined in [34], is a certain drawback of the TPGs investigated in this study. The DT-LFSR-TPG structures also prevail in higher operation frequencies as compared to two-dimensional and hierarchical CA and GLFSR registers that comprise at least two layers of XOR gates in their paths of linear feedback. On the contrary, only a single XOR gate is included in each local feedback loop (i.e., the internal loop of a T-type flip-flop) when DT-LFSR registers are used as components of test pattern generators of the DT-LFSR-TPG type.
Pseudo-random pattern generators of the DT-LFSR-TPG type seem to be an attractive solution for designers who still have some redundant hardware remaining in their projects, where integrated circuits with a large number of input and output lines with quite low utilization of internal resources can serve as a good example. At least for a portion of such circuits, the overall area occupied on a silicon substrate is determined by dimensions of numerous pads for input and output lines. If so, a substantial part of silicon areas available for an internal logic of an IC still remains redundant and can be used for the needs of the LBIST structure.
The DT-LFSR-TPG structures of pseudo-random test pattern generators are easy to design, which is a subsequent advantage of such solutions. The design is just reduced to selection of an appropriate DkTt structure of the DT-LFSR register (based on Table 1 and Table 6) suitable for the test pattern generator. The selection must guarantee that the required separation between output channels of the DT-LFSR-TPG module is preserved while keeping the cost of the test pattern generator at the lowest possible level. The determination of content for both of the aforementioned tables is also a minor problem. As was mentioned in Section 4.3, the calculation of phase shifts between binary sequences at outputs of the DT-LFSR register with the register length up to as many as 100 bits takes not more than several seconds. In turn, the logic synthesis of all DT-LFSR registers listed in Table 6 took less than 1 min. On the contrary, the time necessary to design a test pattern generator of the LFSR + PS type with the use of methods given in [34] was sometimes as long as several hours. It is worth mentioning that the very short time necessary to find the separation coefficient between output channels of the DT-LFSR-TPG structure is also a distinctive property of that solution that overcomes the PRPGs designed on grounds of linear registers of the LHCA 90/150 type, two-dimensional and hierarchical CA, or GLFSR ones.
Further investigations on pseudo-random pattern generators of the DT-LFSR-TPG type shall be dedicated to the reduction of hardware overhead introduced by these modules. The figures provided in Table 8 indicate that such an overhead is caused, for instance, by a high number of redundant D and T flip-flops that are not connected to any scan path. Therefore, the idea of reducing the overhead, in particular for TPGs with a large number of output channels, may consist in seeking DT-LFSR registers designed according to primitive polynomials but with the length of n much higher than the ones investigated in this paper. Hopefully, the suitable DT-LFSRs shall be found to enable the construction of DT-LFSR-TPG modules with only a slight number of redundant D and T flip-flops. Another opportunity to significantly reduce the hardware overhead introduced by a DT-LFSR Test Pattern Generator lies in the application of purposefully designed T-type flip-flops with low implementation cost (i.e., with a little area occupied on a silicon substrate), similarly to the solution disclosed in [65]. Then, the original seeding method can be applied to T-type flip-flops, as shown in [65,66].
Another opportunity to seek for PRPGs offering some compromise between the maximum operating frequency and the implementation cost lies in further improvements of LFSR + PS and CA + PS test pattern generators. One has to be aware that the key factor that mitigates the maximum operating frequency is the time of signal propagation through a phase shifter wired to outputs of the LFSR or CA registers. Logic structures of that type can be described in a very instinctive manner by means of the Reed–Muller (RM) algebra [67] (pp. 44–46, 289–310), [68,69,70,71]. The logic circuits based on the RM logic are pretty well investigated and numerous methods for optimization of propagation time have already been developed for them, where one of such methods is disclosed in [72]. The author expects that some of these methods can be used to draw up a new method for the engineering of phase shifters with propagation times much shorter than the ones reported in [34,39].

Funding

This research was supported by the Polish Ministry of Science and Higher Education funding for statutory activities (BK-226/RAu12/2021).

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

Not applicable.

Conflicts of Interest

The author declares no conflict of interest.

References

  1. Bardell, P.H.; McAnney, W.H. Self-Testing of Multichip Logic Modules. In Proceedings of the International Test Conference, Philadelphia, PA, USA, 15–18 November 1982; pp. 200–204. [Google Scholar]
  2. Mourad, S.; Zorian, Y. Principles of Testing Electronic Systems, 1st ed.; John Willey & Sons: Hoboken, NJ, USA, 2000. [Google Scholar]
  3. Stroud, C.E. A Designer’s Guide to Built-In Self-Test, 1st ed.; Springer: Boston, MA, USA, 2002. [Google Scholar] [CrossRef]
  4. Bushnell, M.; Agraval, V.D. Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits, 1st ed.; Springer: Boston, MA, USA, 2002. [Google Scholar] [CrossRef]
  5. Milewski, S.; Mukherjee, N.; Rajski, J.; Solecki, J.; Tyszer, J.; Zawada, J. Full-Scan LBIST with Capture-Per-Cycle Hybrid Test Points. In Proceedings of the 2017 IEEE International Test Conference (ITC), Fort Worth, TX, USA, 31 October–2 November 2017. [Google Scholar] [CrossRef]
  6. Wohl, P.; Waicukauski, J.A.; Maston, G.A.; Colburn, J.E. XLBIST: X-Tolerant Logic BIST. In Proceedings of the 2018 IEEE International Test Conference (ITC), Phoenix, AZ, USA, 29 October–1 November 2018. [Google Scholar] [CrossRef]
  7. Moghaddam, E.; Mukherjee, N.; Rajski, J.; Solecki, J.; Tyszer, J.; Zawada, J. Logic BIST With Capture-Per-Clock Hybrid Test Points. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2019, 38, 1028–1041. [Google Scholar] [CrossRef]
  8. Shintani, M.; Mino, T.; Inoue, M. LBIST-PUF: An LBIST Scheme Towards Efficient Challenge-Response Pairs Collection and Machine-Learning Attack Tolerance Improvement. In Proceedings of the 2020 IEEE 29th Asian Test Symposium (ATS), Penang, Malaysia, 23–26 November 2020. [Google Scholar] [CrossRef]
  9. Liu, Y.; Milewski, S.; Mrugalski, G.; Mukherjee, N.; Rajski, J.; Tyszer, J.; Włodarczak, B. X-Tolerant Compactor maXpress for In-System Test Applications With Observation Scan. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2021, 29, 1553–1566. [Google Scholar] [CrossRef]
  10. Hortensius, P.D.; McLeod, R.D.; Pries, W.; Miller, D.M.; Card, H.C. Cellular automata-based pseudorandom number generators for built-in self-test. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1989, 8, 842–859. [Google Scholar] [CrossRef]
  11. Bardell, P.H. Analysis of Cellular Automata Used as Pseudorandom Pattern Generators. In Proceedings of the International Test Conference, Washington, DC, USA, 10–14 September 1990. [Google Scholar] [CrossRef]
  12. Rajski, J.; Mrugalski, G.; Tyszer, J. Comparative study of CA-based PRPGs and LFSRs with phase shifters. In Proceedings of the 17th IEEE VLSI Test Symposium, Dana Point, CA, USA, 25–29 April 1999. [Google Scholar] [CrossRef]
  13. Jenkins, C.; Kakade, J.; Kagaris, D. Cellular Automata with Large Channel Separations. In Proceedings of the 2007 IEEE International Symposium on Circuits and Systems, New Orleans, LA, USA, 27–30 May 2007; pp. 1033–1036. [Google Scholar] [CrossRef]
  14. Chidambaram, S.; Kagaris, D.; Pradhan, D.K. Comparative study of CA with phase shifters and GLFSRs. In Proceedings of the 2005 IEEE International Conference on Test, Austin, TX, USA, 8–10 November 2005. [Google Scholar] [CrossRef]
  15. Kakade, J.; Kagaris, D.; Pradhan, D.K. Evaluation of Generalized LFSRs as Test Pattern Generators in Two-Dimensional Scan Designs. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2008, 27, 1689–1692. [Google Scholar] [CrossRef]
  16. Pradhan, D.K.; Chatterjee, M. GLFSR—A New Test Pattern Generator for Built-in-Self-Test. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1999, 18, 238–247. [Google Scholar] [CrossRef]
  17. Matsushima, J.; Maeda, Y.; Takakura, M. CooLBIST: An Effective Approach of Test Power Reduction for LBIST. In Proceedings of the 2008 17th Asian Test Symposium (ATS), Hokkaido, Japan, 24–27 November 2008. [Google Scholar] [CrossRef]
  18. Jayalakshmi, A.; Cheong, T.E. A Methodology for LBIST Logic Diagnosis in High Volume Manufacturing. In Proceedings of the 2012 4th Asia Symposium on Quality Electronic Design (ASQED), Penang, Malaysia, 10–11 July 2012; pp. 249–253. [Google Scholar] [CrossRef]
  19. Prabhu, S.; Acharya, V.V.; Bagri, S.; Hsiao, M.S. A Diagnosis-Friendly LBIST Architecture with Property Checking. In Proceedings of the 2014 IEEE International Test Conference (ITC), Seattle, WA, USA, 20–23 October 2014. [Google Scholar] [CrossRef]
  20. Contreras, G.K.; Zhao, Y.; Ahmed, N.; Winemberg, L.; Tehranipoor, M. LBIST Pattern Reduction by Learning ATPG Test Cube Properties. In Proceedings of the Sixteenth International Symposium on Quality Electronic Design (ISQED), Santa Clara, CA, USA, 2–4 March 2015; pp. 147–153. [Google Scholar] [CrossRef]
  21. Moghaddam, E.; Mukherjee, N.; Rajski, J.; Tyszer, J.; Zawada, J. Test point insertion in hybrid test compression/LBIST architectures. In Proceedings of the 2016 IEEE International Test Conference (ITC), Fort Worth, TX, USA, 15–17 November 2016. [Google Scholar] [CrossRef]
  22. Acevedo, O.; Kagaris, D. LFSR characteristic polynomial and phase shifter computation for two-dimensional test set generation. In Proceedings of the 2017 18th IEEE Latin American Test Symposium (LATS), Bogota, Colombia, 13–15 March 2017. [Google Scholar] [CrossRef]
  23. Omaña, M.; Rossi, D.; Fuzzi, F.; Metra, C.; Tirumurti, C.C.; Galivanche, R. Scalable Approach for Power Droop Reduction During Scan-Based Logic BIST. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 238–246. [Google Scholar] [CrossRef] [Green Version]
  24. Xiang, D.; Wen, X.; Wang, L.-T. Low-Power Scan-Based Built-In Self-Test Based on Weighted Pseudorandom Test Pattern Generation and Reseeding. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2017, 25, 942–953. [Google Scholar] [CrossRef]
  25. Mukherjee, N.; Tille, D.; Sapati, M.; Liu, Y.; Mayer, J.; Milewski, S.; Moghaddam, E.; Rajski, J.; Solecki, J.; Tyszer, J. Test Time and Area Optimized BIST Scheme for Automotive ICs. In Proceedings of the 2019 IEEE International Test Conference (ITC), Washington, DC, USA, 9–15 November 2019. [Google Scholar] [CrossRef]
  26. Kato, T.; Wang, S.; Sato, Y.; Kajihara, S.; Wen, X. A Flexible Scan-in Power Control Method in Logic BIST and Its Evaluation with TEG Chips. IEEE Trans. Emerg. Top. Comput. 2020, 8, 591–601. [Google Scholar] [CrossRef]
  27. Contreras, G.; Ahmed, N.; Winemberg, L.; Tehranipoor, M. Predictive LBIST Model and Partial ATPG for Seed Extraction. In Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), Amherst, MA, USA, 12–14 October 2015; pp. 139–146. [Google Scholar] [CrossRef]
  28. Mrugalski, G.; Rajski, J.; Rybak, Ł.; Solecki, J.; Tyszer, J. Star-EDT: Deterministic on-chip scheme using compressed test patterns. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2017, 36, 683–693. [Google Scholar] [CrossRef]
  29. Papameletis, C.; Chickermane, V.; Foutz, B.; Singhal, S.; Chakravadhanula, K. Optimized Physical DFT Synthesis of Unified Compression and LBIST for Automotive Applications. In Proceedings of the 2019 IEEE International Test Conference (ITC), Washington, DC, USA, 9–15 November 2019. [Google Scholar] [CrossRef]
  30. Kumar, P.A.; Anita, J.P. Implementation of Hybrid LBIST Mechanism in Digital Circuits for Test Pattern Generation and Test Time Reduction. In Proceedings of the 2020 5th International Conference on Communication and Electronics Systems (ICCES), Coimbatore, India, 10–12 June 2020; pp. 243–248. [Google Scholar] [CrossRef]
  31. Liu, Y.; Mukherjee, N.; Rajski, J.; Reddy, S.M.; Tyszer, J. Deterministic Stellar BIST for automotive ICs. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2020, 39, 1699–1710. [Google Scholar] [CrossRef]
  32. Kaczmarek, B.; Mrugalski, G.; Mukherjee, N.; Pogiel, A.; Rajski, J.; Rybak, Ł.; Tyszer, J. LBIST for Automotive ICs with Enhanced Test Generation. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2021. [Google Scholar] [CrossRef]
  33. Golomb, S.W. Shift Register Sequences, 3rd ed.; World Scientific Publishing: Singapore, 2017; pp. 24–89. [Google Scholar] [CrossRef] [Green Version]
  34. Rajski, J.; Tamarapalli, N.; Tyszer, J. Automated Synthesis of Phase Shifters for Built-In Self-Test Applications. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2000, 19, 1175–1188. [Google Scholar] [CrossRef]
  35. Rajski, J.; Tamarapalli, N.; Tyszer, J. Automated Synthesis of Large Phase Shifters for Built-In Self-Test. In Proceedings of the IEEE International Test Conference, Washington, DC, USA, 18–22 October 1998; pp. 1047–1056. [Google Scholar] [CrossRef]
  36. Rajski, J.; Tyszer, J. Design of Phase Shifters for BIST Applications. In Proceedings of the 16th IEEE VLSI Test Symposium, Monterey, CA, USA, 30 April 1998; pp. 218–224. [Google Scholar] [CrossRef]
  37. Kagaris, D. Built-In TPG with Designed Phaseshifts. In Proceedings of the 21st IEEE VLSI Test Symposium, Napa, CA, USA, 27 April–1 May 2003. [Google Scholar] [CrossRef]
  38. Garbolino, T.; Hławiczka, A. A New LFSR with D and T Flip-Flops as an Effective Test Pattern Generator for VLSI Circuits, In Dependable Computing—EDCC-3. EDCC 1999. Lecture Notes in Computer Science; Springer: Berlin/Heidelberg, Germany, 1999; Volume 1667, pp. 321–338. [Google Scholar] [CrossRef]
  39. Mrugalski, G.; Rajski, J.; Tyszer, J. Cellular Automata-Based Test Pattern Generators with Phase Shifters. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2000, 19, 878–893. [Google Scholar] [CrossRef]
  40. Knuth, D.E. The Art of Computer Programming, Volume 2: Seminumerical Algorithms, 3rd ed.; Addison-Wesley: Boston, MA, USA, 1997. [Google Scholar]
  41. Zhang, S.; Byrne, V.; Muzio, J.C.; Miller, D.M. Why Cellular Automata are Better than LFSRs as Built-In Self-Test Generators for Sequential-type Faults. In Proceedings of the IEEE International Symposium on Circuits and Systems-ISCAS ‘94, London, UK, 30 May–2 June 1994; pp. 69–72. [Google Scholar] [CrossRef]
  42. Cho, S.-J.; Choi, U.-S.; Kim, H.-D.; Hwang, Y.-H.; Kim, J.-G.; Heo, S.-H. New Synthesis of One-Dimensional 90/150 Linear Hybrid Group Cellular Automata. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2007, 26, 1720–1724. [Google Scholar] [CrossRef]
  43. Nandi, S.; Chaudhuri, P.P. Additive cellular automata as an on-chip test pattern generator. In Proceedings of the 1993 IEEE 2nd Asian Test Symposium (ATS), Beijing, China, 16–18 November 1993; pp. 166–171. [Google Scholar] [CrossRef]
  44. Serra, M.; Slater, T.; Muzio, J.C.; Miller, D.M. The analysis of one-dimensional linear cellular automata and their aliasing properties. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1990, 9, 767–778. [Google Scholar] [CrossRef] [Green Version]
  45. Cattell, K.; Zhang, S.; Serra, M.; Muzio, J.C. 2-by-n hybrid cellular automata with regular configuration: Theory and application. IEEE Trans. Comput. 1999, 48, 285–295. [Google Scholar] [CrossRef]
  46. Chen, D.; Sun, X. On effectiveness of phase shifters for pseudo-random test pattern generators. In Proceedings of the IEEE Canadian Conference on Electrical and Computer Engineering, Winnipeg, MB, Canada, 12–15 May 2002; pp. 541–546. [Google Scholar] [CrossRef]
  47. Li, J.; Sun, X.; Soon, K. Tree-structured Linear Cellular Automata and Their Applications as PRPGs. In Proceedings of the International Test Conference, Washington, DC, USA, 3–5 November 1997; pp. 858–867. [Google Scholar] [CrossRef]
  48. Chowdhury, D.R.; Sengupta, I.; Chaudhuri, P.P. A class of two-dimensional cellular automata and their applications in random pattern testing. J. Electron. Test. 1994, 5, 67–82. [Google Scholar] [CrossRef]
  49. Sikdar, B.K.; Ganguly, N.; Chaudhuri, P.P. Design of hierarchical cellular automata for on-chip test pattern generator. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2002, 21, 1530–1539. [Google Scholar] [CrossRef]
  50. Chaudhuri, P.P.; Chowdhury, D.R.; Nandi, S.; Chattopadhyay, S. Additive Cellular Automata: Theory and Applications, Vol. 1; Willey-IEEE Computer Society Press: Los Alamitos, CA, USA, 1997; pp. 48–52. [Google Scholar]
  51. Bardell, P.H. Discrete logarithms a parallel pseudorandom pattern generator analysis method. J. Electron. Test. 1992, 3, 17–31. [Google Scholar] [CrossRef]
  52. Odlyzko, A.M. Discrete logarithms in finite fields and their cryptographic significance. In Advances in Cryptology. EUROCRYPT 1984. Lecture Notes in Computer Science; Beth, T., Cot, N., Ingemarsson, I., Eds.; Springer: Berlin/Heidelberg, Germany, 1985; Volume 209, pp. 224–314. [Google Scholar] [CrossRef] [Green Version]
  53. Koblitz, N. A Course in Number Theory and Cryptography, 2nd ed.; Springer: New York, NY, USA, 1994. [Google Scholar] [CrossRef]
  54. Bardel, P.H. Design Considerations for Parallel Pseudorandom Pattern Generators. J. Electron. Test. 1990, 1, 73–87. [Google Scholar] [CrossRef]
  55. Mrugalski, G.; Tyszer, J.; Rajski, J. Linear independence as evaluation criterion for two-dimensional test pattern generators. In Proceedings of the 18th IEEE VLSI Test Symposium, Montreal, QC, Canada, 30 April-4 May 2000; pp. 377–386. [Google Scholar] [CrossRef]
  56. Mrugalski, G.; Tyszer, J.; Rajski, J. Synthesis of pattern generators based on cellular automata with phase shifters. In Proceedings of the IEEE International Test Conference, Atlantic City, NJ, USA, 27–30 September 1999; pp. 368–377. [Google Scholar] [CrossRef]
  57. Kagaris, D. A unified method for phase shifter computation. ACM Trans. Des. Autom. Electron. Syst. 2005, 10, 157–167. [Google Scholar] [CrossRef]
  58. Bellos, M.; Kagaris, D.; Nikolos, D. Test Set Embedding Based on Phase Shifters. In Dependable Computing EDCC-4. EDCC 2002. Lecture Notes in Computer Science; Bondavalli, A., Thevenod-Fosse, P., Eds.; Springer: Berlin/Heidelberg, Germany, 2002; Volume 2485, pp. 90–101. [Google Scholar] [CrossRef]
  59. Hławiczka, A. D or T Flip-Flop Based Linear Registers. Arch. Control. Sci. A Q. Pol. Acad. Sci. 1992, 1, 249–268. [Google Scholar]
  60. Mrugalski, G.; Rajski, J.; Tyszer, J. Ring generators—New devices for embedded test applications. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2004, 23, 1306–1320. [Google Scholar] [CrossRef]
  61. Modular Equation Solver. Available online: https://www.dcode.fr/modular-equation-solver (accessed on 22 September 2021).
  62. Rajski, J.; Tyszer, J. Primitive Polynomials Over GF(2) of Degree up to 660 with Uniformly Distributed Coefficients. J. Electron. Test. 2003, 19, 645–657. [Google Scholar] [CrossRef]
  63. Bhavsar, D.K.; Edmondson, J.H. Testability Strategy of the Alpha AXP 21164 Microprocessor. In Proceedings of the IEEE International Test Conference, Washington, DC, USA, 2–6 October 1994; pp. 50–59. [Google Scholar] [CrossRef]
  64. Bhavsar, D.K.; Edmondson, J.H. Alpha 21164 Testability Strategy. IEEE Des. Test Comput. 1997, 14, 25–33. [Google Scholar] [CrossRef]
  65. Garbolino, T.; Hlawiczka, A.; Kristof, A. Fast and Low-Area TPGs based on T-type Flip-Flops can be Easily Integrated to the Scan Path. In Proceedings of the IEEE European Test Workshop, Cascais, Portugal, 23–26 May 2000; pp. 161–166. [Google Scholar] [CrossRef]
  66. Novák, O.; Plíva, Z.; Nosek, J.; Hlawiczka, A.; Garbolino, T.; Gucwa, K. Test-Per-Clock Logic BIST with Semi-Deterministic Test Patterns and Zero-Aliasing Compactor. J. Electron. Test. 2004, 20, 109–122. [Google Scholar] [CrossRef]
  67. Sasao, T. Switching Theory for Logic Synthesis, 1st ed.; Springer: New York, US, 1999. [Google Scholar] [CrossRef]
  68. Hrynkiewicz, E.; Kołodziński, S. An Ashenhurst disjoint and non-disjoint decomposition of logic functions in Reed-Muller spectral domain. In Proceedings of the 17th International Conference Mixed Design of Integrated Circuits and Systems-MIXDES 2010, Wrocław, Poland, 24–26 June 2010; pp. 200–204. [Google Scholar] [CrossRef]
  69. Sasao, T. Easily testable realizations for generalized Reed-Muller expressions. IEEE Trans. Comput. 1997, 46, 709–716. [Google Scholar] [CrossRef]
  70. Zaitseva, E.; Levashenko, V.; Lukyanchuk, I.; Rabcan, J.; Kvassay, M.; Rusnak, P. Application of Generalized Reed–Muller Expression for Development of Non-Binary Circuits. Electronics 2020, 9, 12. [Google Scholar] [CrossRef] [Green Version]
  71. Kalantari, Z.; Eshghi, M.; Mohammadi, M.; Jassbi, S. General Method to Design Reversible Universal n-Bit Up/Down Counters. J. Circuits Syst. Comput. 2020, 29, 2050165. [Google Scholar] [CrossRef]
  72. He, Z.; Xiao, L.; Gu, F.; Ruan, L.; Huo, Z.; Li, M.; Zhu, M.; Zhang, L.; Liu, R.; Wang, X. EDOA: An efficient delay optimization approach for mixed-polarity Reed-Muller logic circuits under the unit delay model. Front. Comput. Sci. 2019, 13, 1102–1115. [Google Scholar] [CrossRef]
Figure 1. An LBIST module with the classic STUMPS architecture.
Figure 1. An LBIST module with the classic STUMPS architecture.
Applsci 11 09476 g001
Figure 2. The example of faults that are undetectable by means of a conventional STUMPS-type LBIST structure.
Figure 2. The example of faults that are undetectable by means of a conventional STUMPS-type LBIST structure.
Applsci 11 09476 g002
Figure 3. Type I LFSR register associated with the primitive polynomial p ( x ) = 1 + x 3 + + x 4 + x 19 + x 20 : (a) Internal structure of the register; (b) State-Time Diagram (STD) for that register.
Figure 3. Type I LFSR register associated with the primitive polynomial p ( x ) = 1 + x 3 + + x 4 + x 19 + x 20 : (a) Internal structure of the register; (b) State-Time Diagram (STD) for that register.
Applsci 11 09476 g003
Figure 4. The graph for the correlation coefficient for an example of the type I LFSR register shown in Figure 3a.
Figure 4. The graph for the correlation coefficient for an example of the type I LFSR register shown in Figure 3a.
Applsci 11 09476 g004
Figure 5. The graph for the correlation coefficient for an example of the type I LFSR register shown in Figure 3a—the zoomed-in picture for the correlation coefficient ranging from −0.03 to +0.05. The correlation coefficient was calculated for the test sequence with the length of 10,000 vectors.
Figure 5. The graph for the correlation coefficient for an example of the type I LFSR register shown in Figure 3a—the zoomed-in picture for the correlation coefficient ranging from −0.03 to +0.05. The correlation coefficient was calculated for the test sequence with the length of 10,000 vectors.
Applsci 11 09476 g005
Figure 6. An LBIST module with STUMPS architecture with a pseudo-random test pattern generator composed of the LFSR or CA register and a phase shifter.
Figure 6. An LBIST module with STUMPS architecture with a pseudo-random test pattern generator composed of the LFSR or CA register and a phase shifter.
Applsci 11 09476 g006
Figure 7. General structure of an n-bit DT-LFSR register.
Figure 7. General structure of an n-bit DT-LFSR register.
Applsci 11 09476 g007
Figure 8. The DT-LFSR register with the D3T17 structure and associated with the primitive polynomial p ( x ) = 1 + x 3 ( 1 + x ) 17 = 1 + x 3 + x 4 + x 19 + x 20 : (a) Internal structure of the register; (b) State–Time Diagram (STD) for that register.
Figure 8. The DT-LFSR register with the D3T17 structure and associated with the primitive polynomial p ( x ) = 1 + x 3 ( 1 + x ) 17 = 1 + x 3 + x 4 + x 19 + x 20 : (a) Internal structure of the register; (b) State–Time Diagram (STD) for that register.
Applsci 11 09476 g008
Figure 9. The graph for the correlation coefficient for the DT-LFSR with the D3T17 structure.
Figure 9. The graph for the correlation coefficient for the DT-LFSR with the D3T17 structure.
Applsci 11 09476 g009
Figure 10. The graph for the correlation coefficient for the DT-LFSR with the D3T17 structure—the zoomed-in picture for correlation coefficient range from −0.03 do +0.05, the correlation coefficient was calculated for a test sequence with the length of 10,000 vectors.
Figure 10. The graph for the correlation coefficient for the DT-LFSR with the D3T17 structure—the zoomed-in picture for correlation coefficient range from −0.03 do +0.05, the correlation coefficient was calculated for a test sequence with the length of 10,000 vectors.
Applsci 11 09476 g010
Figure 11. Explanation of a phase shift in the DT-LFSR register.
Figure 11. Explanation of a phase shift in the DT-LFSR register.
Applsci 11 09476 g011
Figure 12. LBIST module with STUMPS architecture that uses a set of DT-LFSR registers as a generator of pseudo-random test patterns.
Figure 12. LBIST module with STUMPS architecture that uses a set of DT-LFSR registers as a generator of pseudo-random test patterns.
Applsci 11 09476 g012
Figure 13. n-bit DT-LFSR: (a) Diagram of the internal structure of the synthesizable register model; (b) Internal structure of the configurable D/T flip-flop.
Figure 13. n-bit DT-LFSR: (a) Diagram of the internal structure of the synthesizable register model; (b) Internal structure of the configurable D/T flip-flop.
Applsci 11 09476 g013
Figure 14. Topology layout for a 20-bit DT-LFSR register with the D3T17 structure and designed by means of the interleaving technique.
Figure 14. Topology layout for a 20-bit DT-LFSR register with the D3T17 structure and designed by means of the interleaving technique.
Applsci 11 09476 g014
Table 1. Minimum phase shift at outputs of T-type flip-flops within the DT-LFSR register in question.
Table 1. Minimum phase shift at outputs of T-type flip-flops within the DT-LFSR register in question.
No.tnΦΤΦΤmin
122253.36 × 1072.29 × 1071.53 × 106
225312.15 × 1091.98 × 1098.59 × 107
327295.37 × 1089.94 × 1071.99 × 107
428312.15 × 1091.30 × 1097.67 × 107
533353.44 × 10103.33 × 10101.04 × 109
638412.20 × 10122.89 × 10115.79 × 1010
740495.63 × 10145.49 × 10141.41 × 1013
842471.41 × 10143.69 × 10133.35 × 1012
949524.50 × 10152.21 × 10159.19 × 1013
1050571.44 × 10174.90 × 10162.88 × 1015
1158639.22 × 10181.75 × 10181.59 × 1017
1259601.15 × 10183.91 × 10171.95 × 1016
1359682.95 × 10201.35 × 10205.00 × 1018
1462639.22 × 10181.34 × 10181.49 × 1017
1562712.36 × 10213.43 × 10203.81 × 1019
1665712.36 × 10211.93 × 10213.63 × 1019
1765812.42 × 10242.08 × 10243.72 × 1022
1870796.04 × 10233.20 × 10238.64 × 1021
1971841.93 × 10255.18 × 10242.72 × 1023
2074871.55 × 10261.88 × 10252.09 × 1024
2178953.96 × 10282.54 × 10275.08 × 1026
2284953.96 × 10281.93 × 10284.72 × 1026
2385971.58 × 10292.24 × 10281.86 × 1027
2491971.58 × 10291.04 × 10281.74 × 1027
Table 2. The number of scan paths to be fed with test sequences from a TPG designed as a set of DT-LFSR registers, with consideration of various phase shift Θ m i n .
Table 2. The number of scan paths to be fed with test sequences from a TPG designed as a set of DT-LFSR registers, with consideration of various phase shift Θ m i n .
No.tnΘmin
128256512102420484096
1222511,915595729781489744372
22531671,088335,544167,77283,88641,94320,971
32729155,34477,67238,83619,41897094854
42831599,186299,593149,79674,89837,44918,724
533358,134,4074,067,2032,033,6011,016,800508,400254,200
638414.52 × 1082.26 × 1081.13 × 1085.65 × 1072.83 × 1071.41 × 107
740491.10 × 10115.50 × 10102.75 × 10101.37 × 10106.87 × 1093.44 × 109
842472.62 × 10101.31 × 10106.54 × 10093.27 × 10091.64 × 1098.18 × 108
949527.18 × 10113.59 × 10111.80 × 10118.98 × 10104.49 × 10102.24 × 1010
1050572.25 × 10131.13 × 10135.63 × 10122.81 × 10121.41 × 10127.04 × 1011
1158631.24 × 10156.21 × 10143.11 × 10141.55 × 10147.76 × 10133.88 × 1013
1259601.53 × 10147.63 × 10133.82 × 10131.91 × 10139.54 × 10124.77 × 1012
1359683.91 × 10161.95 × 10169.77 × 10154.89 × 10152.44 × 10151.22 × 1015
1462631.16 × 10155.81 × 10142.91 × 10141.45 × 10147.26 × 10133.63 × 1013
1562712.98 × 10171.49 × 10177.44 × 10163.72 × 10161.86 × 10169.30 × 1015
1665712.84 × 10171.42 × 10177.09 × 10163.55 × 10161.77 × 10168.87 × 1015
1765812.91 × 10201.45 × 10207.27 × 10193.63 × 10191.82 × 10199.08 × 1018
1870796.75 × 10193.37 × 10191.69 × 10198.43 × 10184.22 × 10182.11 × 1018
1971842.13 × 10211.06 × 10215.32 × 10202.66 × 10201.33 × 10206.65 × 1019
2074871.63 × 10228.17 × 10214.08 × 10212.04 × 10211.02 × 10215.11 × 1020
2178953.97 × 10241.98 × 10249.92 × 10234.96 × 10232.48 × 10231.24 × 1023
2284953.68 × 10241.84 × 10249.21 × 10234.61 × 10232.30 × 10231.15 × 1023
2385971.46 × 10257.28 × 10243.64 × 10241.82 × 10249.10 × 10234.55 × 1023
2491971.36 × 10256.80 × 10243.40 × 10241.70 × 10248.50 × 10234.25 × 1023
Table 3. The maximum numbers of scan paths to be fed from LFSR + PS or from CA + PS structures for various phase shifts Θ m i n .
Table 3. The maximum numbers of scan paths to be fed from LFSR + PS or from CA + PS structures for various phase shifts Θ m i n .
PRPG
Type
nΘmin
128256512102420484096
LFSR I
[34]
24201919891938182716301362
32499149914990498749824971
LFSR II
[34]
24343733463194292024541908
3213,47713,47313,46513,44213,39513,309
LHCA 90/150
[39]
2410,85810,0318713690248633063
3239,73139,67739,58439,39839,01838,301
Table 4. Maximum numbers of output channels for test pattern generators of the DT-LFSR-TPG type when the Θ m i n separation between output channels is high.
Table 4. Maximum numbers of output channels for test pattern generators of the DT-LFSR-TPG type when the Θ m i n separation between output channels is high.
No.tnΘmin
1051061071081091010101110121013
12225151-------
22531858858------
32729198191------
42831766767------
5333510,4121041104101----
63841578,69057,8695786578575---
740491.41 × 10814,073,7481,407,374140,73714,0731407140141
8424733,508,9253,350,892335,08933,5083350335333-
949529.19 × 10891,910,1969,191,019919,10191,9109191919919
1050572.88 × 10102.88 × 1092.88 × 10828,823,0372,882,303288,23028,8232882288
1158631.59 × 10121.59 × 10111.59 × 10101.59 × 1091.59 × 10815,902,3651,590,236159,02315,902
1259601.95 × 10111.95 × 10101.95 × 1091.95 × 10819,541,0421,954,104195,41019,5411954
1359685.00 × 10135.00 × 10125.00 × 10115.00 × 10105.00 × 1095.00 × 10850,025,0685,002,506500,250
1462631.49 × 10121.49 × 10111.49 × 10101.49 × 1091.49 × 10814,876,4061,487,640148,76414,876
1562713.81 × 10143.81 × 10133.81 × 10123.81 × 10113.81 × 10103.81 × 1093.81 × 10838,083,6003,808,360
1665713.63 × 10143.63 × 10133.63 × 10123.63 × 10113.63 × 10103.63 × 1093.63 × 10836,325,8963,632,589
1765813.72 × 10173.72 × 10163.72 × 10153.72 × 10143.72 × 10133.72 × 10123.72 × 10113.72 × 10103.72 × 109
1870798.64 × 10168.64 × 10158.64 × 10148.64 × 10138.64 × 10128.64 × 10118.64 × 10108.64 × 1098.64 × 108
1971842.72 × 10182.72 × 10172.72 × 10162.72 × 10152.72 × 10142.72 × 10132.72 × 10122.72 × 10112.72 × 1010
2074872.09 × 10192.09 × 10182.09 × 10172.09 × 10162.09 × 10152.09 × 10142.09 × 10132.09 × 10122.09 × 1011
2178955.08 × 10215.08 × 10205.08 × 10195.08 × 10185.08 × 10175.08 × 10165.08 × 10155.08 × 10145.08 × 1013
2284954.72 × 10214.72 × 10204.72 × 10194.72 × 10184.72 × 10174.72 × 10164.72 × 10154.72 × 10144.72 × 1013
2385971.86 × 10221.86 × 10211.86 × 10201.86 × 10191.86 × 10181.86 × 10171.86 × 10161.86 × 10151.86 × 1014
2491971.74 × 10221.74 × 10211.74 × 10201.74 × 10191.74 × 10181.74 × 10171.74 × 10161.74 × 10151.74 × 1014
Table 5. Minimum phase shift Ψmin between binary sequences produced by a TPG designed on the basis of DT-LFSR registers, calculated for various numbers of scan paths.
Table 5. Minimum phase shift Ψmin between binary sequences produced by a TPG designed on the basis of DT-LFSR registers, calculated for various numbers of scan paths.
No.tnNumber of Scan Paths
1282565121024204840968192
122251.19 × 1045.96 × 1032.98 × 1031.49 × 1037.44 × 1023.72 × 1021.86 × 102
225316.71 × 1053.36 × 1051.68 × 1058.39 × 1044.19 × 1042.10 × 1041.05 × 104
327291.55 × 1057.77 × 1043.88 × 1041.94 × 1049.71 × 1034.85 × 1032.43 × 103
428315.99 × 1053.00 × 1051.50 × 1057.49 × 1043.74 × 1041.87 × 1049.36 × 103
533358.13 × 1064.07 × 1062.03 × 1061.02 × 1065.08 × 1052.54 × 1051.27 × 105
638414.52 × 1082.26 × 1081.13 × 1085.65 × 1072.83 × 1071.41 × 1077.06 × 106
740491.10 × 10115.50 × 10102.75 × 10101.37 × 10106.87 × 1093.44 × 1091.72 × 109
842472.62 × 10101.31 × 10106.54 × 1093.27 × 1091.64 × 1098.18 × 1084.09 × 108
949527.18 × 10113.59 × 10111.80 × 10118.98 × 10104.49 × 10102.24 × 10101.12 × 1010
1050572.25 × 10131.13 × 10135.63 × 10122.81 × 10121.41 × 10127.04 × 10113.52 × 1011
1158631.24 × 10156.21 × 10143.11 × 10141.55 × 10147.76 × 10133.88 × 10131.94 × 1013
1259601.53 × 10147.63 × 10133.82 × 10131.91 × 10139.54 × 10124.77 × 10122.39 × 1012
1359683.91 × 10161.95 × 10169.77 × 10154.89 × 10152.44 × 10151.22 × 10156.11 × 1014
1462631.16 × 10155.81 × 10142.91 × 10141.45 × 10147.26 × 10133.63 × 10131.82 × 1013
1562712.98 × 10171.49 × 10177.44 × 10163.72 × 10161.86 × 10169.30 × 10154.65 × 1015
1665712.84 × 10171.42 × 10177.09 × 10163.55 × 10161.77 × 10168.87 × 10154.43 × 1015
1765812.91 × 10201.45 × 10207.27 × 10193.63 × 10191.82 × 10199.08 × 10184.54 × 1018
1870796.75 × 10193.37 × 10191.69 × 10198.43 × 10184.22 × 10182.11 × 10181.05 × 1018
1971842.13 × 10211.06 × 10215.32 × 10202.66 × 10201.33 × 10206.65 × 10193.33 × 1019
2074871.63 × 10228.17 × 10214.08 × 10212.04 × 10211.02 × 10215.11 × 10202.55 × 1020
2178953.97 × 10241.98 × 10249.92 × 10234.96 × 10232.48 × 10231.24 × 10236.20 × 1022
2284953.68 × 10241.84 × 10249.21 × 10234.61 × 10232.30 × 10231.15 × 10235.76 × 1022
2385971.46 × 10257.28 × 10243.64 × 10241.82 × 10249.10 × 10234.55 × 10232.28 × 1023
2491971.36 × 10256.80 × 10243.40 × 10241.70 × 10248.50 × 10234.25 × 10232.13 × 1023
Table 6. Results of the logic synthesis for selected registers of the DT-LFSR type.
Table 6. Results of the logic synthesis for selected registers of the DT-LFSR type.
No.tnTotal CostCost Per Channel
122251768.00
225312128.48
327292097.74
428312207.86
533352537.67
638412947.74
740493358.38
842473327.90
949523747.63
1050574008.00
1158634497.74
1259604397.44
1359684748.03
1462634617.44
1562714968.00
1665715057.77
1765815498.45
1870795557.93
1971845808.17
2074876028.14
2178956498.32
2284956677.94
2385976787.98
2491976967.65
Table 7. Implementation costs for test pattern generators of the DT-LFSR-TPG type.
Table 7. Implementation costs for test pattern generators of the DT-LFSR-TPG type.
No.tnNumber of Scan Paths
128256512102420484096
12225105621124224827216,54432,912
22531127223324452869217,38434,768
32729104520903971794215,88431,768
42831110022004180814016,28032,340
53335101220244048809615,93931,625
63841117620584116793815,87631,752
74049134023454355871017,42034,505
84247132823244316830016,26832,536
94952112222444114785415,70831,416
105057120024004400840016,40032,800
115863134722454041808216,16431,879
125960131721953951790215,36530,730
135968142223704266853216,59033,180
146263138323054149783715,67430,887
156271148824804464843216,86433,232
166571101020204040808016,16032,320
176581109821964392878417,56835,136
187079111022204440832516,65032,745
197184116023204640870016,82033,640
207487120424084214842816,85633,712
217895129825964543908617,52334,397
228495133426684669867116,67532,683
238597135627124746881416,95033,222
249197139220884176835216,00832,016
Table 8. Supplementary details on the structures of test pattern generators of the DT-LFSR-TPG type.
Table 8. Supplementary details on the structures of test pattern generators of the DT-LFSR-TPG type.
No.tnNumber of Scan Paths
128256512102420484096
122256, 18, 412, 36, 824, 72, 1647, 141, 1094, 282, 20187, 561, 18
225316, 36, 2211, 66, 1921, 126, 1341, 246, 182, 492, 2164, 984, 4
327295, 10, 710, 20, 1419, 38, 138, 76, 276, 152, 4152, 304, 8
428315, 15, 1210, 30, 2419, 57, 2037, 111, 1274, 222, 24147, 441, 20
533354, 8, 48, 16, 816, 32, 1632, 64, 3263, 126, 31125, 250, 29
638414, 12, 247, 21, 1014, 42, 2027, 81, 254, 162, 4108, 324, 8
740494, 36, 327, 63, 2413, 117, 826, 234, 1652, 468, 32103, 927, 24
842474, 20, 407, 35, 3813, 65, 3425, 125, 2649, 245, 1098, 490, 20
949523, 9, 196, 18, 3811, 33, 2721, 63, 542, 126, 1084, 252, 20
1050573, 21, 226, 42, 4411, 77, 3821, 147, 2641, 287, 282, 574, 4
1158633, 15, 465, 25, 349, 45, 1018, 90, 2036, 180, 4071, 355, 22
1259603, 3, 495, 5, 399, 9, 1918, 18, 3835, 35, 1770, 70, 34
1359683, 27, 495, 45, 399, 81, 1918, 162, 3835, 315, 1770, 630, 34
1462633, 3, 585, 5, 549, 9, 4617, 17, 3034, 34, 6067, 67, 58
1562713, 27, 585, 45, 549, 81, 4617, 153, 3034, 306, 6067, 603, 58
1665712, 12, 24, 24, 48, 48, 816, 96, 1632, 192, 3264, 384, 64
1765812, 32, 24, 64, 48, 128, 816, 256, 1632, 512, 3264, 1024, 64
1870792, 18, 124, 36, 248, 72, 4815, 135, 2630, 270, 5259, 531, 34
1971842, 26, 144, 52, 288, 104, 5615, 195, 4129, 377, 1158, 754, 22
2074872, 26, 204, 52, 407, 91, 614, 182, 1228, 364, 2456, 728, 48
2178952, 34, 284, 68, 567, 119, 3414, 238, 6827, 459, 5853, 901, 38
2284952, 22, 404, 44, 807, 77, 7613, 143, 6825, 275, 5249, 539, 20
2385972, 24, 424, 48, 847, 84, 8313, 156, 8125, 300, 7749, 588, 69
2491972, 12, 543, 18, 176, 36, 3412, 72, 6823, 138, 4546, 276, 90
Table 9. Implementation costs and maximum operation frequencies for test pattern generators of the LFSR + PS type disclosed in [34].
Table 9. Implementation costs and maximum operation frequencies for test pattern generators of the LFSR + PS type disclosed in [34].
Number of Scan PathsType I LFSRsType II LFSRs
h1(x)h2(x)h3(x)h4(x)h5(x)h6(x)h1(x)h2(x)h3(x)h4(x)h5(x)h6(x)
128459527603667725862461525588656717864
575614614 *614 *614 *614 *567668711831665796
2567948399409641061114878583791997510541150
563516614 *582588515584536659642641697
512130614561523156816501823130814541508157016481804
435521511473499565448551543486514586
1024254225532650275928242969243025502649275328222958
424425425510474492437431433523485501
2048500849504982502851315218499549464980502751315214
356419416408439368373431434410450392
409697381004696229697977599059641100269618966397579877
342352375417415418342368398440421436
Table 10. Comparison of implementation costs and maximum operating frequencies between test pattern generators of the LFSR + PS and DT-LFSR-TPG types.
Table 10. Comparison of implementation costs and maximum operating frequencies between test pattern generators of the LFSR + PS and DT-LFSR-TPG types.
Number of Scan PathsType I LFSRsType II LFSRs
h1(x)h2(x)h3(x)h4(x)h5(x)h6(x)h1(x)h2(x)h3(x)h4(x)h5(x)h6(x)
128120%91%68%51%39%17%119%92%72%54%41%17%
73%62%62%62%62%62%75%49%40%20%50%25%
256154%141%115%110%90%76%157%141%120%107%92%76%
76%93%62%71%69%93%70%86%51%55%55%43%
512203%171%159%152%139%117%202%172%162%152%140%119%
129%91%95%110%99%76%122%80%83%104%93%70%
1024208%207%196%184%178%164%223%207%196%185%178%165%
134%134%134%95%110%102%128%130%129%90%105%98%
2048207%210%208%206%199%194%208%211%209%206%199%195%
180%137%139%144%126%170%167%131%129%142%121%154%
4096216%206%219%217%214%210%219%207%220%218%215%211%
191%183%165%139%140%138%190%170%150%126%136%128%
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Garbolino, T. A New, Fast Pseudo-Random Pattern Generator for Advanced Logic Built-In Self-Test Structures. Appl. Sci. 2021, 11, 9476. https://doi.org/10.3390/app11209476

AMA Style

Garbolino T. A New, Fast Pseudo-Random Pattern Generator for Advanced Logic Built-In Self-Test Structures. Applied Sciences. 2021; 11(20):9476. https://doi.org/10.3390/app11209476

Chicago/Turabian Style

Garbolino, Tomasz. 2021. "A New, Fast Pseudo-Random Pattern Generator for Advanced Logic Built-In Self-Test Structures" Applied Sciences 11, no. 20: 9476. https://doi.org/10.3390/app11209476

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop