Next Article in Journal
Enhanced Operational Characteristics Attained by Applying HfO2 as Passivation in AlGaN/GaN High-Electron-Mobility Transistors: A Simulation Study
Next Article in Special Issue
Non-Buffer Epi-AlGaN/GaN on SiC for High-Performance Depletion-Mode MIS-HEMTs Fabrication
Previous Article in Journal
3D-Printed Microrobots: Translational Challenges
Previous Article in Special Issue
Investigation into Photolithography Process of FPCB with 18 µm Line Pitch
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Communication

Improving Performance of Al2O3/AlN/GaN MIS HEMTs via In Situ N2 Plasma Annealing

1
State Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433, China
2
Shanghai Integrated Circuit Manufacturing Innovation Center Co., Ltd., Shanghai 200433, China
*
Author to whom correspondence should be addressed.
These authors contributed equally to this work.
Micromachines 2023, 14(6), 1100; https://doi.org/10.3390/mi14061100
Submission received: 12 April 2023 / Revised: 14 May 2023 / Accepted: 17 May 2023 / Published: 23 May 2023
(This article belongs to the Special Issue Advanced Micro- and Nano-Manufacturing Technologies)

Abstract

:
A novel monocrystalline AlN interfacial layer formation method is proposed to improve the device performance of the fully recessed-gate Al2O3/AlN/GaN Metal-Insulator-Semiconductor High Electron Mobility Transistors (MIS-HEMTs), which is achieved by plasma-enhanced atomic layer deposition (PEALD) and in situ N2 plasma annealing (NPA). Compared with the traditional RTA method, the NPA process not only avoids the device damage caused by high temperatures but also obtains a high-quality AlN monocrystalline film that avoids natural oxidation by in situ growth. As a contrast with the conventional PELAD amorphous AlN, C-V results indicated a significantly lower interface density of states (Dit) in a MIS C-V characterization, which could be attributed to the polarization effect induced by the AlN crystal from the X-ray Diffraction (XRD) and Transmission Electron Microscope (TEM) characterizations. The proposed method could reduce the subthreshold swing, and the Al2O3/AlN/GaN MIS-HEMTs were significantly enhanced with ~38% lower on-resistance at Vg = 10 V. What is more, in situ NPA provides a more stable threshold voltage (Vth) after a long gate stress time, and ΔVth is inhibited by about 40 mV under Vg,stress = 10 V for 1000 s, showing great potential for improving Al2O3/AlN/GaN MIS-HEMT gate reliability.

1. Introduction

Gallium nitride (GaN) and its related wide-band gap compound semiconductors have been considered candidates for the next generation of RF and power conversion applications [1,2,3,4,5]. Compared with Si, high electron mobility transistors (HEMTs) based on AlGaN/GaN heterostructures have excellent performance due to their inherent high breakdown strength, low on-resistance, and high temperature operating capability [6,7]. In addition to these inherent advantages, there is also great interest in the possibility of growing GaN-based semiconductors on large-area (up to 200 mm) and low-cost Si substrates due to the large market potential and the possibility of integrating GaN power switches with Si CMOS technology [2]. The conventional AlGaN/GaN HEMTs operate in depletion mode (D-mode) because of the high-density 2DEG induced by the polarization effect. However, in order to reduce power loss during switching and to simplify circuit configuration, normally-off devices are necessary for power applications. Many technologies that could enable devices to achieve enhancement mode (E-mode) have been proposed, including fluorine ion treatment [8,9], p-GaN gate [10], thin AlGaN barrier [11], recessed gate [12,13], and so on. While the F ion implantation method appeared earlier, the F ion is easy to diffuse in the barrier layer at high temperatures, resulting in an unstable device threshold voltage. The thin AlGaN barrier structure will reduce the polarization effect of the draft region, resulting in a decrease in two-dimensional electron gas (2DEG) concentration and device output characteristics. p-GaN gate structure requires very precise etching conditions [14] and etch-induced damage is inevitable to the draft region. Among them, recessed gate HEMTs are considered one of the most promising approaches.
In order to make the device normally off, the polarization-induced charges will be eliminated when the AlGaN barrier under the gate region is fully removed. The barrier-removing process can introduce extra etching damage and surface states. Therefore, gate dielectric is significant for the recessed-gate structure because it can decrease off-state gate leakage and driver losses. At the same time, with the merits of suppressed gate leakage and enlarged gate swing, AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) are highly preferred over the conventional Schottky-gate HEMTs for high-voltage power switches. However, the insertion of gate dielectric creates an additional dielectric/AlGaN/GaN interface, where a high density of interface traps usually exists [15]. The Al2O3 film grown by atomic layer deposition (ALD) is commonly used as the gate dielectric in the fabrication of AlGaN/GaN MIS-HEMTs [16]. However, under high fabrication process temperatures and high operation voltage stress conditions, the oxygen element in ALD Al2O3 may diffuse to the surface of the AlGaN barrier layer, causing reliability concerns [17].
In terms of interface quality, Hinkle et al. [18] found that natural oxides (Ga2O3) on the surface of (Al)GaN compound semiconductors are the main reason for Fermi level pinning and high interface trap density (Dit) in GaN-based transistors. Robertson [19] proposed that natural defects such as Ga-suspended bonds at the oxide/(Al)GaN interface also restrict Fermi level variation. In addition to traditional natural oxide removal methods, nitridation interfacial layer (NIL) ahead of the gate dielectric deposition has been adopted in AlGaN/GaN HEMTs, such as AlN deposition [20], thermal nitridation [21], and remote plasma nitridation [22,23,24]. Implemented in a plasma-enhanced atomic layer deposition (PEALD) system, NIL is an excellent choice for interface quality improvement. It is because PEALD not only can achieve precise deposition thickness and avoid surface damage caused by plasma but also facilitates implementing the function of in situ dielectric deposition.
Monocrystalline AlN film has been reported as an interfacial dielectric layer in MIS-HEMTs, which can improve interface quality and device reliability [25]. Generally, high-temperature (over 600 °C) processes such as molecular beam epitaxy (MBE) and metal-organic vapor deposition (MOCVD) are considered necessary for the formation of high-quality AlN crystal [26]. Nevertheless, such a high temperature is not compatible with the subsequent fabrication process [27,28]. Although the ALD technique could facilitate the growth of AlN at a lower temperature (about 300 °C), the amorphous film still needs high temperature rapid thermal annealing (RTA) to transform to the monocrystalline state.
In this work, a novel in situ AlN crystal interfacial layer formation process is proposed to obtain a high-quality Al2O3/AlN/GaN interface. Compared with the traditional RTA method, the NPA process not only avoids the device damage caused by high temperatures but also obtains a high-quality AlN monocrystalline film that avoids natural oxidation by in situ growth. Namely, the post PEALD in situ N2 plasma annealing (NPA) process not only promotes the crystallization of the AlN interfacial layer but also significantly suppresses interface states and reduces Vth shift after long-term gate stress as compared to fully recessed-gate MIS-HEMTs fabricated with conventional amorphous AlN.

2. Device Structure and Fabrication

For the fabrication of fully recessed-gate MIS-HEMTs, the epitaxial structure was grown on a 6-in Si (111) wafer by MOCVD. The epitaxial III-Nitride layers were composed of a 5 μm C-doped buffer layer, a 180 nm GaN channel, and a 20 nm Al0.22Ga0.78N barrier layer. The density and mobility of 2DEG were 8.5 × 1012 cm−2 and 1960 cm2/Vs, respectively, by Hall measurement at room temperature.
Figure 1a shows the schematic of the fully recessed-gate MIS-HEMTs, in which gate lengths of 4 μm and gate widths of 50 μm are prepared for the following characterization. After device isolation by Cl-based inductively coupled plasma (ICP) deep etch, a 50-nm SiNx passivation stack was deposited by ICP-CVD. After selectively removing the passivation layers in the gate window by F-based ICP dry etching, the gate recess process was performed by O2 and BCl3 atomic layer etch (ALE) technology at an etch rate of 0.75 nm/cyc [29,30]. As shown in Figure 1b, a total recess depth of ∼20 nm was reached after 26 cycles of ALE, indicating complete removal of the barrier layer. Then, the AlN insertion layer was deposited by PEALD. Trimethylaluminum and NH3 were used as the metal precursor and the N source, respectively. The purge gas was high-purity N2. The plasma RF power and chamber temperature were set at 60 W and 250 °C, respectively. In order to avoid excessive polarization charge at the AlN/GaN interface, the thickness of the AlN film with 20 cycles of ALD was nominally 1.5 nm. An in situ NPA process was first applied to make the amorphous AlN transform to the crystalline form, as shown in Table 1. Considering that thin AlN was the interface layer, an RF of 200 W and a process time of 300 s were chosen for high quality and low damage requirements. Afterwards, a 20 nm Al2O3 layer was in situ deposited by ALD, followed by 500 °C RTA for 90 s to eliminate dangling bonds. Finally, the Ti/Al/Ni/Au ohmic contact and Ni/Au gate were fabricated, respectively. In addition, MOS diodes for capacitance–voltage (C-V) tests were also prepared on the same wafer, as shown in Figure 1c. For comparison, MIS HEMTs with two different properties of the AlN interfacial layer were fabricated, which are also distinguished as Scheme I and Scheme II.
Grazing incidence X-ray diffraction (GIXRD) was used to investigate the crystallization characteristics of the AlN, as shown in Figure 1d. It can be observed that the AlN peak appeared in the (0002) orientation. The diffraction peak intensity after NPA was significantly enhanced in Scheme II. This indicates that the proposed process can promote the crystallization of AlN films on the GaN substrate.

3. Results and Discussion

The multi-frequency capacitance–voltage characteristics of the MOS diodes are plotted in Figure 2a,b. With the frequency varying from 10 kHz to 10 MHz, the MOS diodes with an N2 plasma-enhanced AlN crystal interfacial layer show much smaller frequency dispersion compared to Scheme II, indicating an improved interface with a lower trap density. The insets in Figure 2a,b show the C-V hysteresis characteristics at the frequency of 1 MHz. The shift of flat-band voltage is 53 mV and 129 mV for the MOS diodes in Schemes I and II, respectively. Trap density (Dit) can be obtained from multi-frequency C-V curve frequency dispersion [31]. For Scheme I, the measured ΔVFB between 100 kHz and 1 MHz was 40 mV, indicating 9.7 × 1011 cm−2 eV−1 of trap states with a time constant in the range of 0.16~16 μs. The corresponding trap densities for Scheme II were 8 × 1012 cm−2 eV−1. It can be seen that the MOS diodes in Scheme I have a lower VFB. This is because the AlN crystal interfacial layer had an enhanced polarization effect, and more polarization charges were generated at the interface of AlN/GaN [17]. The cross-sectional TEM micrographs of Al2O3/AlN/GaN interfaces in the recessed region are shown in Figure 2c,d. A sharp monocrystal interfacial layer is formed through the NPA process. In contrast, Scheme II exhibits a rough interface.
Figure 3a shows the fully recessed-gate MIS-HEMTs transfer characteristics at Vd = 10 V of Scheme I and Scheme II, respectively. A normally-off operation with a Vth of 1.6 V is achieved. The subthreshold swing of Scheme I is much lower than Scheme II. What is more, the device of Scheme I exhibited well-suppressed off-state gate leakage compared with Scheme II at Vd = 10 V. The max saturated drain current (Isat) is 371 mA/mm and 301 mA/mm at Vg = 8 V of Scheme I and Scheme II, respectively, as illustrated in Figure 3b. The extracted on-resistance (RON) of Scheme I and Scheme II are 10.1 Ω·mm and 15.93 Ω·mm at Vg = 10 V, respectively.
The threshold voltage (Vth) instability after a positive forward-reverse gate sweep or Vth shift during a positive gate bias stress, which is generally referred to as positive bias temperature instability (PBTI), has been reported for different gate dielectrics [32,33,34]. The PBTI represents serious reliability issues in fully recessed-gate MIS HEMTs for E-mode applications since a high-gate overdrive (VgVth) is needed for fast switching [35]. Figure 4a shows the curves of threshold voltage shift (ΔVth) versus stress period (tstress) at Vg,stress = 6 V, 8 V, and 10 V, respectively. We observe that ΔVth increases with Vg,stress, and tstress increasing. After being stressed for 1000 s, ΔVth exhibits 90 mV and 130 mV for Vg,stress = 10 V of Scheme I and Scheme II, respectively, which results from the high quality AlN crystal interfacial layer reducing the defect density and maintaining a more stable threshold voltage (Vth). After the stress phase, all devices are immediately biased at Vg,recovery = 0 V to record the Vth recovery at room temperature (Figure 4b). It is difficult to recover completely even when biased at Vg,recovery = 0 V, indicating that a higher density of trap states may be introduced by high overdrive voltage.

4. Conclusions

In summary, a novel post-AlN growth in situ NPA process is proposed to improve device performance. The newly proposed in situ NPA process could effectively promote the crystallization of the AlN interfacial layer. Compared with the traditional RTA method, the NPA process not only avoids high temperature-induced damage to the devices but also produces a high-quality AlN monocrystalline film that avoids natural oxidation by in situ growth. As a contrast with the conventional PELAD amorphous AlN, C-V results indicated a significantly lower interface density of states (Dit) in a MIS diode C-V characterization, which could be attributed to the polarization effect induced by the AlN crystal. The NPA process was helpful for the subthreshold swing reduction, and the Al2O3/AlN/GaN MIS-HEMTs were significantly enhanced with ~38% lower on-resistance at Vg = 10 V. What is more, in situ NPA provides a more stable Vth after a long gate stress time, and ΔVth is inhibited by about 40 mV under Vg,stress = 10 V for 1000 s, showing great potential for improving Al2O3/AlN/GaN MIS-HEMT gate reliability.

Author Contributions

Conceptualization, M.S. and L.W.; methodology, M.S. and L.W.; validation, K.C. and P.Z.; formal analysis, M.S. and L.W.; investigation, P.Z. and K.C.; resources, P.Z. and K.C.; data curation, M.S. and L.W.; writing—original draft preparation, M.S.; writing—review and editing, P.Z. and K.C.; visualization, M.S.; supervision, L.W., P.Z. and K.C.; project administration, K.C.; funding acquisition, K.C. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Data Availability Statement

Not applicable.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Saito, Y.; Tsurumaki, R.; Noda, N.; Horio, K. Analysis of Reduction in Lag Phenomena and Current Collapse in Field-Plate AlGaN/GaN HEMTs with High Acceptor Density in a Buffer Layer. IEEE Trans. Device Mater. Reliab. 2017, 18, 46–53. [Google Scholar] [CrossRef]
  2. Sun, R.; Lai, J.; Chen, W.; Zhang, B. GaN Power Integration for High Frequency and High Efficiency Power Applications: A Review. IEEE Access 2020, 8, 15529–15542. [Google Scholar] [CrossRef]
  3. Ajayan, J.; Nirmal, D.; Mohankumar, P.; Mounika, B.; Bhattacharya, S.; Tayal, S.; Fletcher, A.S. Challenges in material processing and reliability issues in AlGaN/GaN HEMTs on silicon wafers for future RF power electronics & switching applications: A critical review. Mater. Sci. Semicond. Process. 2022, 151, 106982. [Google Scholar] [CrossRef]
  4. Li, W.; Romanczyk, B.; Guidry, M.; Akso, E.; Hatui, N.; Wurm, C.; Liu, W.; Shrestha, P.; Collins, H.; Clymore, C.; et al. Record RF Power Performance at 94 GHz From Millimeter-Wave N-Polar GaN-on-Sapphire Deep-Recess HEMTs. IEEE Trans. Electron Devices 2023, 70, 2075–2080. [Google Scholar] [CrossRef]
  5. Mounika, B.; Ajayan, J.; Bhattacharya, S.; Nirmal, D. Recent developments in materials, architectures and processing of AlGaN/GaN HEMTs for future RF and power electronic applications: A critical review. Micro Nanostruct. 2022, 168, 207317. [Google Scholar] [CrossRef]
  6. Pu, T.; Younis, U.; Chiu, H.C.; Xu, K.; Kuo, H.C.; Liu, X. Review of Recent Progress on Vertical GaN-Based PN Diodes. Nanoscale Res. Lett. 2021, 16, 101. [Google Scholar] [CrossRef]
  7. Meneghini, M.; De Santi, C.; Abid, I.; Buffolo, M.; Cioni, M.; Khadar, R.A.; Nela, L.; Zagni, N.; Chini, A.; Medjdoub, F.; et al. GaN-based power devices: Physics, reliability, and perspectives. J. Appl. Phys. 2021, 130, 181101. [Google Scholar] [CrossRef]
  8. Cai, Y.; Zhou, Y.; Lau, K.M.; Chen, K.J. Control of threshold voltage of AlGaN/GaN HEMTs by fluoride-based plasma treatment: From depletion mode to enhancement mode. IEEE Trans. Electron Devices 2006, 53, 2207–2215. [Google Scholar] [CrossRef]
  9. Zhou, K.; Shan, L.; Zhang, Y.; Lu, D.; Ma, Y.; Chen, X.; Luo, L.; Wu, C. Fluorine Plasma Treatment for AlGaN/GaN HEMT-Based Ultraviolet Photodetector with High Responsivity and High Detectivity. IEEE Electron Device Lett. 2023, 44, 781–784. [Google Scholar] [CrossRef]
  10. Hwang, I.; Kim, J.; Choi, H.S.; Choi, H.; Lee, J.; Kim, K.Y.; Park, J.B.; Lee, J.C.; Ha, J.; Oh, J.; et al. p-GaN Gate HEMTs With Tungsten Gate Metal for High Threshold Voltage and Low Gate Current. IEEE Electron Device Lett. 2013, 34, 202–204. [Google Scholar] [CrossRef]
  11. Huang, S.; Liu, X.; Wang, X.; Kang, X.; Zhang, J.; Fan, J.; Shi, J.; Wei, K.; Zheng, Y.; Gao, H.; et al. Ultrathin-Barrier AlGaN/GaN Heterostructure: A Recess-Free Technology for Manufacturing High-Performance GaN-on-Si Power Devices. IEEE Trans. Electron Devices 2017, 65, 207–214. [Google Scholar] [CrossRef]
  12. Hsieh, T.E.; Chang, E.Y.; Song, Y.Z.; Lin, Y.C.; Wang, H.C.; Liu, S.C.; Salahuddin, S.; Hu, C.C. Gate Recessed Quasi-Normally OFF Al2O3/AlGaN/GaN MIS-HEMT With Low Threshold Voltage Hysteresis Using PEALD AlN Interfacial Passivation Layer. IEEE Electron Device Lett. 2014, 35, 732–734. [Google Scholar] [CrossRef]
  13. He, Y.; Gao, H.; Wang, C.; Zhao, Y.; Lu, X.; Zhang, C.; Zheng, X.; Guo, L.; Ma, X.; Hao, Y. Comparative Study Between Partially and Fully Recessed-Gate Enhancement-Mode AlGaN/GaN MIS HEMT on the Breakdown Mechanism. Phys. Status Solidi (A) 2019, 216, 1900115. [Google Scholar] [CrossRef]
  14. Buttari, D.; Chini, A.; Chakraborty, A.; Mccarthy, L.; Xing, H.; Palacios, T.; Shen, L.; Keller, S.; Mishra, U.K. Selective dry etching of GaN over AlGaN in BCl3/SF6 mixtures. In Proceedings of the IEEE Lester Eastman Conference on High Performance Devices, Troy, NY, USA, 4–6 August 2004. [Google Scholar]
  15. Chen, K.J.; Yang, S.; Tang, Z.; Huang, S.; Lu, Y.; Jiang, Q.; Liu, S.; Liu, C.; Li, B. Surface nitridation for improved dielectric/III-nitride interfaces in GaN MIS-HEMTs. Phys. Status Solidi (A) 2015, 212, 1059–1065. [Google Scholar] [CrossRef]
  16. Kanamura, M.; Ohki, T.; Kikkawa, T.; Imanishi, K.; Hara, N. Enhancement-mode GaN MIS-HEMTs with n-GaN/i-AlN/n-GaN triple cap layer and high-κ gate dielectrics. IEEE Electron Device Lett. 2010, 31, 189–191. [Google Scholar] [CrossRef]
  17. Liu, S.; Yang, S.; Tang, Z.; Jiang, Q.; Liu, C.; Wang, M.; Shen, B.; Chen, K.J. Interface/border trap characterization of Al2O3/AlN/GaN metal-oxide-semiconductor structures with an AlN interfacial layer. Appl. Phys. Lett. 2015, 106, 295–298. [Google Scholar] [CrossRef]
  18. Hinkle, C.L.; Milojević, M.; Brennan, B.; Sonnet, A.M.; Aguirre-Tostado, F.S.; Hughes, G.; Vogel, E.M.; Wallace, R.M. Detection of Ga suboxides and their impact on III-V passivation and Fermi-level pinning. Appl. Phys. Lett. 2009, 94, 162101. [Google Scholar] [CrossRef]
  19. Robertson, J. Model of interface states at III-V oxide interfaces. Appl. Phys. Lett. 2009, 94, 152104. [Google Scholar] [CrossRef]
  20. Gao, F.; Lee, S.J.; Li, R.; Whang, S.J.; Balakumar, S.; Chi, D.Z.; Kean, C.C.; Vicknesh, S.; Tung, C.H.; Kwong, D.L. GaAs p- and n-MOS devices integrated with novel passivation (plasma nitridation and AlN-surface passivation) techniques and ALD-HfO2/TaN gate stack. In Proceedings of the 2006 International Electron Devices Meeting, San Francisco, CA, USA, 11–13 December 2006; pp. 1–4. [Google Scholar] [CrossRef]
  21. Losurdo, M.; Capezzuto, P.; Bruno, G.; Perna, G.; Capozzi, V. N2–H2N2–H2 remote plasma nitridation for GaAs surface passivation. Appl. Phys. Lett. 2002, 81, 16–18. [Google Scholar] [CrossRef]
  22. Romero, M.F.; JimÉnezJimenez, A.; Miguel-SÁnchezMiguel-Sanchez, J.; BraÑaBrana, A.F.; GonzÁlez-PosadaGonzalez-Posada, F.; Cuerdo, R.; Calle, F.; MuÑozMunoz, E. Effects of Plasma Pretreatment on the SiN Passivation of AlGaN/GaN HEMT. Electron Device Lett. 2008, 29, 209–211. [Google Scholar] [CrossRef]
  23. Romero, A.; Jiménez, F.; González-Posada, S.; Martín-Horcajo, F.C.; Muñoz, E. Impact of N2 Plasma Power Discharge on AlGaN/GaN HEMT Performance. IEEE Trans. Electron Devices 2012, 59, 374–379. [Google Scholar] [CrossRef]
  24. Chen, K.J.; Huang, S. AlN passivation by plasma-enhanced atomic layer deposition for GaN-based power switches and power amplifiers. Semicond. Sci. Technol. 2013, 28, 074015. [Google Scholar] [CrossRef]
  25. Liu, S.; Yang, S.; Tang, Z.; Jiang, Q.; Liu, C.; Wang, M.; Chen, K.J. Al2O3/AlN/GaN MOS-Channel-HEMTs With an AlN Interfacial Layer. IEEE Electron Device Lett. 2014, 35, 723–725. [Google Scholar] [CrossRef]
  26. Koshelev, O.A.; Nechaev, D.V.; Brunkov, P.N.; Ivanov, S.V.; Jmerik, V.N. Stress control in thick AlN/c-Al2O3 templates grown by plasma-assisted molecular beam epitaxy. Semicond. Sci. Technol. 2021, 36, 035007. [Google Scholar] [CrossRef]
  27. Kakanakova-Georgieva, A.; Ivanov, I.G.; Suwannaharn, N.; Hsu, C.W.; Cora, I.; Pécz, B.; Giannazzo, F.; Sangiovanni, D.G.; Gueorguiev, G.K. MOCVD of AlN on epitaxial graphene at extreme temperatures. CrystEngComm 2021, 23, 385–390. [Google Scholar] [CrossRef]
  28. Xie, H.; Liu, Z.; Hu, W.; Zhong, Z.; Lee, K.; Guo, Y.X.; Ng, G.I. GaN-on-Si HEMTs Fabricated With Si CMOS-Compatible Metallization for Power Amplifiers in Low-Power Mobile SoCs. IEEE Microw. Wirel. Compon. Lett. A Publ. IEEE Microw. Theory Tech. Soc. 2021, 31, 141–144. [Google Scholar] [CrossRef]
  29. Liu, S.; Peng, M.; Hou, C.; He, Y.; Li, M.; Zheng, X. PEALD-Grown Crystalline AlN Films on Si (100) with Sharp Interface and Good Uniformity. Nanoscale Res. Lett. 2017, 12, 279. [Google Scholar] [CrossRef]
  30. Marcon, D.; Hove, M.V.; Jaeger, B.D.; Posthuma, N.; Decoutere, S. Direct comparison of GaN-based e-mode architectures (recessed MISHEMT and p-GaN HEMTs) processed on 200mm GaN-on-Si with Au-free technology. Proc. SPIE-Int. Soc. Opt. Eng. 2015, 9363, 117–128. [Google Scholar] [CrossRef]
  31. Ramanan, N.; Lee, B.; Misra, V. Comparison of Methods for Accurate Characterization of Interface Traps in GaN MOS-HFET Devices. IEEE Trans. Electron Devices 2015, 62, 546–553. [Google Scholar] [CrossRef]
  32. Lagger, P.; Reiner, M.; Pogany, D.; Ostermaier, C. Comprehensive Study of the Complex Dynamics of Forward Bias-Induced Threshold Voltage Drifts in GaN Based MIS-HEMTs by Stress/Recovery Experiments. IEEE Trans. Electron Devices 2014, 61, 1022–1030. [Google Scholar] [CrossRef]
  33. Wu, T.L.; Marcon, D.; Bakeroot, B.; De Jaeger, B.; Lin, H.C.; Franco, J.; Stoffels, S.; Van Hove, M.; Roelofs, R.; Groeseneken, G.; et al. Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors. Appl. Phys. Lett. 2015, 107, 93507. [Google Scholar] [CrossRef]
  34. Kuo, H.M.; Chang, T.C.; Chang, K.C.; Lin, H.N.; Kuo, T.T.; Yeh, C.H.; Lee, Y.H.; Lin, J.H.; Tsai, X.Y.; Huang, J.W.; et al. Investigation of Threshold Voltage and Drain Current Degradations in Si3N4/AlGaN/GaN MIS-HEMTs Under X-Ray Irradiation. IEEE Trans. Electron Devices 2023, 70, 2216–2221. [Google Scholar] [CrossRef]
  35. Wu, T.L.; Marcon, D.; Jaeger, B.D.; Hove, M.V.; Decoutere, S. The impact of the gate dielectric quality in developing Au-free D-mode and E-mode recessed gate AlGaN/GaN transistors on a 200mm Si substrate. In Proceedings of the 27th International Symposium on Power Semiconductor Devices and ICs, Hong Kong, China, 10–14 May 2015. [Google Scholar] [CrossRef]
Figure 1. (a) Schematic cross-sectional view of normally-off Al2O3/AlN/GaN MIS-HEMT on silicon substrate; (b) AFM measurement of the trench profile along the recessed window; (c) The MOS diodes for capacitance–voltage (C-V) tests; (d) XRD spectrum of AlN (0002) and GaN (0002).
Figure 1. (a) Schematic cross-sectional view of normally-off Al2O3/AlN/GaN MIS-HEMT on silicon substrate; (b) AFM measurement of the trench profile along the recessed window; (c) The MOS diodes for capacitance–voltage (C-V) tests; (d) XRD spectrum of AlN (0002) and GaN (0002).
Micromachines 14 01100 g001
Figure 2. Multi-frequency C-V curves for diodes in Scheme I (a) and Scheme II (b). The insets were the hysteresis curves at 1 MHz and cross-sectional TEM images for the MOS structure in Scheme I (c) and Scheme II (d).
Figure 2. Multi-frequency C-V curves for diodes in Scheme I (a) and Scheme II (b). The insets were the hysteresis curves at 1 MHz and cross-sectional TEM images for the MOS structure in Scheme I (c) and Scheme II (d).
Micromachines 14 01100 g002aMicromachines 14 01100 g002b
Figure 3. Device performance of the fabricated fully recessed-gate MIS HEMTs (a) transfer characteristics in semi-logarithm scale (insert graph linear scale) and (b) output characteristics.
Figure 3. Device performance of the fabricated fully recessed-gate MIS HEMTs (a) transfer characteristics in semi-logarithm scale (insert graph linear scale) and (b) output characteristics.
Micromachines 14 01100 g003
Figure 4. Changes of (a) ΔVth versus tstress under different gate voltage stresses at room temperature and (b) ΔVth recovery at Vg,recovery = 0 V.
Figure 4. Changes of (a) ΔVth versus tstress under different gate voltage stresses at room temperature and (b) ΔVth recovery at Vg,recovery = 0 V.
Micromachines 14 01100 g004
Table 1. Conditions of N2 plasma annealing for AlN properties.
Table 1. Conditions of N2 plasma annealing for AlN properties.
Power/WTime/sAlN Property
100100amorphous
100300amorphous
100500amorphous
200100Weak signal in AlN (0002)
200300monocrystalline
200500monocrystalline
300100monocrystalline
300300monocrystalline
300500Weak signal in AlN (0002)
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Sun, M.; Wang, L.; Zhang, P.; Chen, K. Improving Performance of Al2O3/AlN/GaN MIS HEMTs via In Situ N2 Plasma Annealing. Micromachines 2023, 14, 1100. https://doi.org/10.3390/mi14061100

AMA Style

Sun M, Wang L, Zhang P, Chen K. Improving Performance of Al2O3/AlN/GaN MIS HEMTs via In Situ N2 Plasma Annealing. Micromachines. 2023; 14(6):1100. https://doi.org/10.3390/mi14061100

Chicago/Turabian Style

Sun, Mengyuan, Luyu Wang, Penghao Zhang, and Kun Chen. 2023. "Improving Performance of Al2O3/AlN/GaN MIS HEMTs via In Situ N2 Plasma Annealing" Micromachines 14, no. 6: 1100. https://doi.org/10.3390/mi14061100

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop