Next Article in Journal
Fabrication Process for Deep Submicron SQUID Circuits with Three Independent Niobium Layers
Previous Article in Journal
A Portable Microfluidic System for Point-of-Care Detection of Multiple Protein Biomarkers
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Interfacial Interactions during Demolding in Nanoimprint Lithography

Shenzhen Key Laboratory for Nanoimprint Technology, Department of Materials Science and Engineering, Southern University of Science and Technology, Shenzhen 518055, China
*
Authors to whom correspondence should be addressed.
Micromachines 2021, 12(4), 349; https://doi.org/10.3390/mi12040349
Submission received: 9 February 2021 / Revised: 2 March 2021 / Accepted: 10 March 2021 / Published: 24 March 2021

Abstract

:
Nanoimprint lithography (NIL) is a useful technique for the fabrication of nano/micro-structured materials. This article reviews NIL in the field of demolding processes and is divided into four parts. The first part introduces the NIL technologies for pattern replication with polymer resists (e.g., thermal and UV-NIL). The second part reviews the process simulation during resist filling and demolding. The third and fourth parts discuss in detail the difficulties in demolding, particularly interfacial forces between mold (template) and resist, during NIL which limit its capability for practical commercial applications. The origins of large demolding forces (adhesion and friction forces), such as differences in the thermal expansion coefficients (CTEs) between the template and the imprinted resist, or volumetric shrinkage of the UV-curable polymer during curing, are also illustrated accordingly. The plausible solutions for easing interfacial interactions and optimizing demolding procedures, including exploring new resist materials, employing imprint mold surface modifications (e.g., ALD-assisted conformal layer covering imprint mold), and finetuning NIL process conditions, are presented. These approaches effectively reduce the interfacial demolding forces and thus lead to a lower defect rate of pattern transfer. The objective of this review is to provide insights to alleviate difficulties in demolding and to meet the stringent requirements regarding defect control for industrial manufacturing while at the same time maximizing the throughput of the nanoimprint technique.

1. Characteristics and Issues in Thermal and UV Nanoimprint Lithography

State-of-the-art functional devices that are related to photonics, electronics, optoelectronics, bioengineering, and information technologies are now commonly fabricated at small scales from various types of materials (e.g., metals, ceramics, polymers, and nanomaterials) [1,2]. Material patterning, which requires lithography techniques to create operative structures, provides unique functionalities and has broad applications in many important engineering fields. Nanoimprint lithography (NIL) is one of the most important nanofabrication techniques among lithographic methods, and it has received widespread attention from both academia and industry in recent years due to its merits of low cost, high throughput, and high feature resolution [3,4,5,6,7]. Particularly due to the daunting technical obstacles and the prohibitive cost-of-ownership of next-generation photolithography systems, NIL has been regarded as a competitive candidate for microelectronic fabrication at 32-nm node and beyond in the international technology roadmap for the semiconductor industry.
Through decades of research, NIL has reached a certain maturity, and commercial NIL systems are available from several vendors for sub-20-nm polymer patterning over substrates larger than 8-inch silicon wafers. However, the implementation of NIL in industrial fabrication is still limited. One of the major hurdles that NIL faces today is the control of the defect density in polymer patterns, because industrial manufacturing, especially the microelectronic lithography step, has very stringent requirements regarding defect control. The NIL process involves mechanically pressing a designed mold into a deformable resist material to create nanostructured patterns [5,8,9]. The resist that is being pressed remains an inverse topography of the template after the imprinting [10]. Because NIL is a molding process, defect generation as a result of the sticking of the polymer to the mold surface is the most difficult to curb in defect management for NIL, which remains a major issue in NIL yield. Though silane anti-sticking coating can be used, defect control is still unsatisfactory for repetitive NIL in volume manufacturing.
Typically, the lithography resolution and fidelity are determined by the pattern size and feature surface roughness of the template [11]. Therefore, the mold fabrication and process parameters are vital to the final NIL resolution and quality. The NIL mold is normally fabricated through photolithography [12], laser direct writer (LDW) [13], or electron beam lithography (EBL) [14,15], and subsequent dry etching and photoresist removal [16,17]. In general, dry etching may cause surface roughness, especially on the pattern sidewalls; increased inductively coupled plasma (ICP) power often increases the etching rate [17]; however, the resultant retarded heat dissipation might create a rough etched surface. Additionally, cycles of etching and passivation are performed by switching SF6 and C4F8 gases in the Bosch process, where scallop structures are usually generated during the etch steps, causing significant roughness on the sidewall [18,19,20,21]. Besides template fabrication, fine-tuning of NIL process parameters is also important in achieving good pattern transfer quality. Artificial neural network algorithms can be implemented on NIL process parameters from experimental and literature data to predict the imprint quality for NIL, which allows users to fabricate high-fidelity structures with less trial and error as well as minimized expense [22].
Since NIL is mostly a mechanical process, various types of functional materials may be textured to satisfy the needs of different functional devices. Two of the major NIL techniques are thermal NIL and UV-NIL (Figure 1), and both have showed a sub-10-nm resolution [23]. In thermal NIL, the imprinted materials (e.g., a thermoplastic polymer film) must be deformable under applied pressure. In general, the elastic modulus of the resist should be lower than that of the NIL mold during pressing, while the resist maintains adequate reflow behavior to retain the fidelity of the micro/nanostructure. The thermal NIL process is often carried out at an elevated temperature (e.g., above the resist’s glass transition temperature, Tg) to enhance its fluidity compared to that of the polymer in an ambient environment [9]. The heated resist may flow and completely fill the template cavities under applied high pressure (e.g., a few MPa). The template is then separated after the patterned resist is cooled to a temperature below its Tg. The dry etching technique, such as ICP, may be performed after imprinting to control the structural height of the micro/nanopattern and remove residual materials [24]. Further, the internal stress relaxation (e.g., stress induced during molding and demolding) may cause reflowing of the imprinted resist [25,26], which is usually undesirable during pattern replication. Recently, we found that the strong chain entanglement in certain polymers seems beneficial to pattern stability and fidelity, and good thermal stability of the nanoscale patterns on polycarbonate is successfully demonstrated at a temperature above its Tg [24].
A thermoplastic resist has been proven to be useful for thermal NIL, while a liquid resist that is curable under UV radiation can be utilized in UV-NIL [27]. The UV-curable polymer precursors with low viscosity could flow into the mold cavities and their viscosity could be rapidly increased in seconds via curing under sufficient UV exposure [10]. The fast curing characteristics of the UV-curable precursors seem to make UV-NIL more feasible for high-volume industrial and commercial applications [24]. The UV-NIL process can be carried out at ambient temperature [23] while the mold or the substrate should be UV-transparent. A nanostructured film can be formed through capillary force by pressing the mold onto the multi-dispensed array of low-viscosity UV-curable monomer droplets [23].
A relatively low imprinting pressure may result in the formation of air bubble defects in UV-NIL if the imprinting is performed in air [28,29]. The pinning of the air–liquid interface at the pattern edge, causing incomplete filling of the resist into mold features, is an engineering challenge in UV-NIL [28,30]. Dynamic simulation results predict that the initial fast resist-filling during imprint is pressure-controlled, while the later phase of filling is slower and controlled by the diffusion of entrapped gas [31]. Pinning may take place if there is no pressure gradient between the air–liquid interface and the bulk liquid; hence, there is no driving force to promote liquid flow to fill the mold features [30]. By decreasing the droplet volume to a certain size, the air bubbles can be eliminated because the air volume is small enough to be dissolved into the resist liquid [23,28]. However, this method is not compatible with spin-coated resist films [32]. Conducting the imprinting in a vacuum may be an alternative solution [33]. Imprinting under a helium atmosphere is also reported as a viable solution, which improves resist-filling because the helium gas diffuses faster into the resist compared to that of air due to its small atomic radius [32]. However, neither method can be applied without increasing the manufacturing complexity and costs. Moreover, a helium atmosphere increases the demolding force compared to that of air (Figure 2) [34]. In addition to performing imprinting in a helium environment, the application of a condensable gas (e.g., pentafluoropropane, CHF2CH2CF3; PFP) for bubble elimination was also proposed [34,35]. During imprinting, the volume of the trapped gas decreases and the condensable gas becomes completely liquid [34]. The remaining liquified condensable gas is assumed to remain as a thin PFP-rich layer between the mold and resist, or dissolves in the resist [34,36]. Since PFP contains fluorine and exhibits low surface energy, such a layer is suggested to effectively decrease the release energy (i.e., demolding force; see Figure 2) of the cured resist compared to that in air [34,36,37,38]. On the other hand, the use of condensable gas may be disadvantageous due to pattern height shrinking via absorption by the resist [39]. The resist pattern height was lowered by approximately ~10% as a result of PFP absorbed by acrylate-type monomers [40].
Moreover, the subsequent polymerization of the UV-curable resist may be inhibited by oxygen [41,42,43]. For instance, the oxygen is highly reactive toward the photo-initiated radicals to form stable peroxy radicals during UV exposure, which impedes the following polymer chain growth [42]. The inhibition period due to dissolved oxygen within the resist can reduce the modulus of the cured resist [44], thus extending the required UV exposure time and lowering the process throughput. The diffused oxygen from the ambient environment may also lead to a thin layer of under-cured resist surrounding the perimeter of the mold (detrimental for imprinting); since the inhibition period is a result of photo-initiated radicals reacting with oxygen, altering the photo-initiator concentration and the initiation conditions (e.g., inerting techniques) may help to reduce the impacts of oxygen during the curing process [42].
More recently, some researchers proposed an electrochemical nanoimprint lithography (ECNL) technique by combining NIL and metal-assisted chemical etching (i.e., corrosion at the metal–semiconductor–electrolyte interface), which operated directly on semiconductors such as porous Si [45], n-type crystalline Si [46], and GaAs wafers [47,48]. However, mass transport issues (e.g., blocked mass transfer and local depletion of reactants) need to be resolved before fabricating high-aspect-ratio structures on bulk semiconductors.

2. Process Simulations for NIL

2.1. Process Simulation for Thermal NIL

In thermal NIL, the process sequence includes hot-pressing and cooling down while the high imprinting pressure is uninterruptedly applied, followed by demolding. During the hot-pressing stage, the temperature is raised above the Tg of the resist and the resist is considered to be viscoelastic [49]. The resist flows toward the mold cavities and the maximum compressive stress is concentrated in the vicinity of the outer mold corner [50]. However, fatal defects may not initiate from this step as the resist is mechanically soft above Tg and the induced stress may spread to other locations [50]. During the cooling process, stress concentration may result from mold-pressing at a solidified resist (e.g., an elastic body) and the lateral thermal strain induced by the difference in the CTEs between the template and the imprinted polymer [24]. The induced stress is again concentrated at the pattern corner [50], possibly as a result of geometrical constraint or material discontinuities. Template release or demolding is the last but vital process in NIL because adhesion between the resist and the mold may induce destructive pattern replication defects [50].
Simulations are useful investigation tools for revealing the physics behind the lithography technique and optimizing the NIL process parameters [49,50,51,52,53,54,55,56]. Computational simulation helps to facilitate a better understanding of the details of the demolding process that may not be easily revealed by experiments (e.g., stress distributions in the resist during demolding), which is essential for optimizing the NIL process. Figure 3 shows the finite element simulation results at the moment of demolding between a silicon mold and polymethylmethacrylate (PMMA) resists with different Young’s modulus values [53]. The modeling result shows that the stress is concentrated at the corner of the pattern [50,55], and the resultant stress field is greater in the resists with a larger Young’s modulus (Figure 3b) [53]. Although the friction force which picks up the resist might not be detrimental, pattern fracture could still occur since the micro-cracks may already have been induced during the previous steps [50].
In addition, complete filling of the polymer resist into the mold cavities during thermal NIL is important for pattern transfer quality. Taylor et al. [57] performed a double-cantilever-beam test to estimate the interfacial fracture work of debonding the mold and the embossed thermal resist, and they found that the demolding work was proportional to an offset of the pattern relief height, which implied incomplete cavity filling, possibly at mold corners. They presented an efficient method to simulate the micro-embossed topography of three thermoplastic resists, namely PMMA, polycarbonate, and Zeonor 1060R (a cyclic olefin polymer), under various processing parameters via a linear viscoelastic model [58]. It was shown that higher embossing temperature resulted in quicker resist penetration into the pattern cavities, while polycarbonate and Zeonor 1060R exhibited higher load sensitivity to cavity penetration (i.e., easier filling) compared to that of PMMA at an embossing temperature of 20 °C above their Tg [58]. The accelerated and unified simulation techniques may also predict the locations of pattern replication defects and thus be used as a pre-design check or an iterative tool to guide the chip design process [59]. Further, trapped air within the mold cavities may impede resist-filling. Previous research [60] also demonstrated an extended simulation technique that presumed that trapped air existed and exerted hydrostatic stresses on the mold and resist during embossing. Simulations showed that the trapped air yielded a smaller impact when PMMA was used compared to that of Zeonor 1060R; nevertheless, increasing imprinting load or holding time may allow the escape of remaining air and enable complete resist-filling [60].

2.2. Process Simulation for UV NIL

In UV-NIL, there are several sequential process steps and factors (e.g., resist-filling, optical intensity distribution, and resist profiles after volume shrinkage due to curing) that need to be taken into consideration [52]. In the mold-pressing process, the incompressible resist flows and fills into the mold pattern cavities. During compression, the air may be trapped at the interface between the resist and the mold. Simulation results indicate that the larger features are more quickly filled with the resist compared to that of smaller patterns due to its lower flow resistance, which is thus less likely to dissolute air into the resist [52]. The bubble-trapping issue in small-scale patterns may lead to unfavorable pattern replication defects; however, using a condensable gas may be a plausible solution [34,35]. Additionally, Taylor et al. [61] proposed a theoretical framework to simulate the spreading and coalescence of the dispensed resist droplets underneath the NIL mold, as well as the thickness distribution of the residual layer. They suggested that air entrapment may be alleviated through fine-tuning the template curvatures to accommodate droplet spreading [61]. In the next step, the UV radiation propagates through the transparent mold (e.g., quartz) while the UV-curable resist is polymerized and shrunken. Assuming a small linear shrinkage (e.g., 0.5%) upon curing (i.e., resist still fully adheres to the mold), the volume shrinkage of the resist within the pattern cavity pulls down the mold, which induces a compressive stress in the residual layer (Figure 4). This compressive stress decreases as the residual layer thickness increases, and therefore, the increased residual layer thickness is effective in reducing the demolding load [54].
The optical intensity distribution is correlated with the resist-curing process, where the irradiated beam mostly propagates within the resist region if the pattern line width is equal to the wavelength of the applied UV exposure [52]. The optical intensity in the vicinity of the top portion of the resist may be stronger because of the standing wave reflection from the silicon substrate; thus, the upper portion of the resist yields greater volume shrinkage and residual stress [51,52]. Further, the resultant volume shrinkage of the resist during curing may alter the imprinted feature profiles, and the literature results are somewhat controversial. For example, finite element modeling results indicate a change in the resist pattern height and a subtle variation in sidewall angle [51]. The polymerization primarily reveals a vertical densification, which is somewhat decreasing with increasing pattern aspect ratio (i.e., larger features exhibit more contraction), possibly because the lateral shrinkage strain is fixed at the interface between the cured resist and the rigid silicon substrate [51,62]. In contrast, Tochino et al. reported that the stress induced near the sidewall of the resist is generally larger than that at the upper wall [54]. Nevertheless, both vertical and lateral components of the shrinkage displacements require further investigations due to their impacts on the demolding process. The compressive stress is also large in the residual layer [52,54], which induces squeezing stress toward the pattern sidewalls [63,64,65,66].

2.3. Simulations for the Demolding Process

The pattern sidewall roughness may also impact the demolding process. Molecular dynamics simulation results demonstrated that the demolding force increases with the increasing mold sidewall roughness, which is strongly related to the molecular behavior of the resist [53]. For instance, when the resist polymer size is small (e.g., low molecular weights), the resist within the roughness pitch is deformed via easy molecular flow. A further increase in the resist molecular size to a size comparable to the roughness pitch switches the deformation mechanism to molecular stretching. If the resist molecular size is sufficiently large, the resist may not exhibit a large amount of deformation, and thus the demolding force is dependent on the friction force between the template and the cured resin [53].
There are various mold-releasing approaches (Figure 5), and the most common method is the vertical lift-off, as discussed previously. However, if the mold is released with an inclined angle with respect to the resist (e.g., peeling and roll-to-roll demolding), a lateral bending stress will be introduced. In the lift-off method, the mold is vertically picked up, though subtle inclination might occur in some real apparatus (Figure 5a). The schematics of peeling and roll-to-roll processes are depicted in Figure 5b,c, respectively. In the peeling process, the simulated maximum local stress decreases with the increasing rotation radius, which may help to attenuate the extent of demolding damage, while in the roll-to-roll process, the maximum induced stress is a function of roller radius, and the resultant stress level appears to be lower compared to that of the lift-off process [55].

3. Mold Surface Modifications

3.1. Surface Treatment for Nanoimprint Molds

To optimize the NIL process for successful demolding, surface modifications on the template are necessary. The molds (e.g., silicon mold [5,24,67] and metallic mold [68]) can be covered with low surface energy (i.e., a hydrophobic surfactant layer) and low adhesion protective layer for relatively easy demolding. This could not only potentially improve the pattern replication qualities but also prolong the service lifetime of the template through minimizing surface contamination [24,69,70,71]. The protective anti-adhesive films have been extensively studied. For instance, diamond-like carbon (DLC) with low adhesion and reactivity can be deposited on the template using plasma-enhanced chemical vapor deposition, with a mixture gas of methane and argon as the precursor [72,73,74]. The DLC coating exhibits excellent chemical and physical stability during imprinting cycles [72]. It also yields low adhesion energy and effective release performance against methacrylate, vinyl ether [73], and SU-8 resist [74], which might result from its small surface roughness of ~0.2 nm [75]. In UV-NIL, the UV-curable resist is typically cured under UV exposure with a wavelength around 365 or 395 nm, where the UV light may transmit through the patterned template. However, the UV transmittance through DLC is not ideal, and it strongly depends on the film thickness. For example, a 20-nm DLC protective layer only provides ~67% transmittance around a wavelength of 365 nm, while the transmittance declines to ~44% if the film thickness is increased to 45 nm [74]. For comparison, the 365-nm transmittance through quartz is usually ~95%. Moreover, ion-beam-deposited DLC film has relatively poor step coverage with uneven sp2 C-C bonding. Consequently, the micro/nano-patterned template with DLC coating may result in spatially non-uniform UV exposure to the underlying resist, causing heterogeneous shrinkage stress distribution and difficult demolding. In addition, protective polytetrafluorethylene (PTFE)-like films can be deposited on the mold through plasma polymerization from a CF4/H2 microwave discharge, or ion sputtering from CHF3 or CF4 plasma [76,77]. The first method induces almost 60% of CF2 groups among all carbon bonds, while the sputtering technique implants randomly distributed fluorinated ions consisting of mainly CF3, CF, and CCF bonds [76]. Both types of PTFE films suffer from degradation during imprinting cycles as a result of fluorine diffusion from the film to the imprinted resist. More recently, a carbon–fluorine polymerized film formed through CHF3/O2 or C4F8/O2 plasma treatment was demonstrated, where the film was composed of CF, CF2, and CF3 bonds [78]. The anti-adhesive film created from C4F8/O2 plasma exhibits enhanced mechanical stability and pattern transfer fidelity compared to that of CHF3/O2 plasma during demolding cycles [78]. Self-assembled monolayer (SAM) films such as tridecafluoro-(1,1,2,2)-tetrahydrooctyl-trichlorosilane (F13-TCS) [67], (tridecafluoro-1,1,2,2-tetrahydrooctyl)trichlorosilane (TFS) [79], grafted octadecyltrimethoxysilane (OTMS) [80], and 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) can also be utilized as a hydrophobic surfactant (Figure 6) [24,70,71].
FDTS may be self-assembled on an oxidized surface through vapor phase deposition [11]. For example, the trifunctional silane heads of the FDTS molecules may interact with -OH groups on a silicon substrate to form Si-O-Si bonds [81]. On the other hand, the hydroxyl group density on the substrate is usually not sufficiently high for every silane to form covalent bonds via dehydrolyze polymerization [82]. Further, the crosslinking among perfluoro-alkylsiloxane molecules might not regularly take place because of steric hindrance [83]. For instance, the size of the fluorine atom is relatively greater compared to that of the hydrogen atoms. The bond length of Si-O-Si is approximately 0.32 nm [83]; on the contrary, the separation distance between Si atoms on the substrate is roughly 0.56 nm [84]. Consequently, robust covalent bonds might only exist at a few of the silicon locations, while the remaining silane molecules are connected to the hydroxide substrate via weaker bonding, such as hydrogen bonding, van der Waals interaction, or highly strained Si–O–Si bonding [85]. The works conducted by Tripp and Hair [86,87,88] also demonstrate that there is no chemical bonding being formed on the surface of substrate; instead, the monolayers might adsorb onto the surface and connect to the hydroxyls on the surface through hydrogen bonding. Hence, the FDTS monolayer may possess a disordered structure, which can reduce the efficacy of the silane coating, and the weakly connected silane molecules might cause SAM film deterioration after a few cycles of the NIL process [89,90].

3.2. Stability of Surfactant Coating on Mold

Since heating (around ~100–250 °C) is usually employed in thermal NIL, there are a few works which have investigated the thermal stability of the monolayers [81,85]. They showed that annealing at 100–200 °C can lead to considerable fluorine loss [85], and the water contact angle decreases dramatically when SAM is annealed at 400 °C for 2 min [81]. More recently, we measured fluorine coverage (i.e., F 1s/Si 2p total peak area ratio normalized by the sensitivity factor of each element) loss at various annealing times and temperatures using X-ray photoelectron spectroscopy (XPS) [11]. Generally, fluorine coverage subsides as the annealing time is increased (Figure 7), possibly due to chain scission and/or desorption of the monolayer. The observed change in the desorption rate may result from the monolayer packing details and the intermolecular heat transfer [91,92,93]. The evolution of the fluorine coverage and the contact angle indicates that the anticipated useful service lifetime of the monolayer is ~180 min upon annealing at 300 °C and ∼560 min if annealed at 250 °C [11]. In addition, aggregations were observed on specimens annealed in an ambient environment [11], possibly because of the existence of vacant -OH groups and moisture molecules in air [11]. The formed aggregations notably deteriorate the thermal stability of the coatings [81,94]. In contrast, almost no chain scission or desorption was observed for specimens heated in an inert environment with minimization of reactive oxygen and water molecules (i.e., glove box, H2O ≤ 0.1 ppm; O2 ∼ 5.9 ppm) [11]. The experimental thermal degradation activities in air and inert environments offer a valuable strategy for designing a pragmatic NIL process for the commercial production of micro/nanostructured polymeric materials.
In UV-NIL, the silane monolayer will be in contact with the liquid polymer precursor and exposed to UV light. Polymer resist can partition into the silane monolayer by occupying the free volume in the silane monolayer (Figure 8). The wetting of the polymer liquid on the silane-coated mold surface also has a significant impact on chain entanglement. A small contact angle usually means higher phase compatibility or mutual solubility across the interface, which usually leads to a higher degree of chain entanglement. Chain entanglement between the silane molecules and the polymer chains in NIL will have a significant effect on the adhesion and friction properties between the mold surface and the polymer film, which is still largely unexplored in NIL research. Silane stability will also be affected due to chain scission by UV light [95] or by increased adhesion and friction forces from chain entanglement. In addition, the SAMs may interact with the free radicals in the crosslinked resists [96], which causes a rise in the surface energy of the template [97].
It has been demonstrated that the FDTS monolayers suffer from permanent wearing after only a few cycles of the demolding process, with substantial loss of fluorine atoms, where the surface roughness increases remarkably [73,74]. The wearing of the silane monolayer will gradually increase both the adhesion and the friction stresses, because the silane wearing will change the surface properties of the template and the chemical composition of the polymer surfaces (e.g., broken silane chain embedded in polymer phase surface). The erosion of the silane monolayer on a mold surface can deteriorate its functionality as an anti-sticking layer and lead to progressively higher defect density after many cycles of imprinting. Silane recoating becomes imperative at the point when the defect density of the patterned polymer becomes unacceptable. This required silane re-processing will inevitably slow down the production rate. Since NIL is being commercially utilized for the production of polymeric optical devices [11], an assessment of the useful service lifetime of the anti-sticking protective film through monitoring of the physical and chemical changes as wearing progresses must be carried out to determine the optimal time interval between two successive silane coatings.
Due to intermolecular vdW interactions, long-chain silanes have been shown to form a more ordered monolayer film. Higher surface coverage and chain ordering can be achieved compared with short-chain silanes under the same processing condition; thus, ordered long-chain silanes are more effective in adhesion and friction reduction for inorganic surfaces. However, in both thermal and UV-curing NIL, the silanes are in direct contact with the polymer resist. Heating and high pressure used in thermal NIL will inevitably cause chain entanglement between the silane molecules and the polymer matrix. In UV-NIL, precursor liquid can impart into the free volume in the silane monolayer and lock silane chains in the cured polymer matrix after UV curing. In both cases, the chain entanglement can contribute to a large separation force required to split the mold and the substrate after imprinting. An entangled interphase as thin as 1 to 2 nm is sufficient to increase the adhesion by an order-of-magnitude, and the chain lengths of OTMS and FDTS are in the order of 2 nm [98]. Thus, the adhesion and friction forces can be significantly reduced by using silanes of shorter chains. However, there is a potential problem with short-chain silanes. Due to the higher molecular mobility and weaker interchain vdW interaction, it is difficult to obtain a monolayer with highly ordered packing and high surface coverage. This problem could potentially be solved by lowering the temperature at which the monolayer is prepared [99].

3.3. Surfactant Additive in Resist as Inner Release Agent

Furthermore, inner release agents such as fluorinated surfactants (e.g., fluorinated vinyl ether; Figure 9a) can be added into the polymer resist [34,100]. Experimental results indicate that the average demolding force significantly decreases and the anti-sticking layer degradation is deferred with the surfactant added to the resist compared to that of the resist without the inner release surfactant [34]. These benefits of the inner release agents can be attributed to the segregation of the surfactant molecules to the polymer resist–mold interface (Figure 9b) [100]. Previous XPS and surface tension investigations found substantial segregation of fluorinated surfactants to the interface between polymer and air [101,102], fluorinated SAM-treated quartz [100], and anti-sticking-layer-coated silicon [34], respectively. In contrast, negligible segregation was detected to the interface between polymer and glass [101], quartz [100], and silicon [34], respectively. The driving force for the fluorinated surfactant segregation was proposed to be related to the low polarity of the air and the low surface energy of the template [100]. Hence, a fully dense SAM might not always be necessary if the inner release agents were added within the monomer [100]. As long as the surface energy remains sufficiently low, the surfactant segregation on the polymer–mold interface may occur and mold durability may be preserved [100].

3.4. Surface Modification by ALD

The mold can also be coated with a protective layer through atomic layer deposition (ALD), which is a vapor-phase deposition method for depositing ultra-thin and conformal coatings with atomic-level precision control of film growth [103,104,105]. ALD may be utilized to reinforce the template durability, and the polymeric photoresist can be directly used as an imprinting template after it is conformally coated with ALD film [104]. Additionally, ALD offers new insights to fine-tune the surface structures. For instance, ALD was used to precisely and accurately alter the ridge width of metallic gratings [103] and the pattern size of hole/pillar arrays [103,104,105,106]. The refining of pattern resolution from 180 to 85 nm was reported via depositing an alumina layer through ALD [104]. Therefore, the conformal and uniform film deposited through ALD may be used to modify the surface morphology, for instance, on the pattern sidewalls.
In addition, resist adhesion to the mold surface sometimes can cause “pulling out” of defects in the transferred resist pattern, since the patterned mold usually has a larger contact surface area. Mold surface modifications decrease the release energy and reduce such defects. In the meantime, forming an adhesion layer to increase the adhesion between the substrate and the cured resist (i.e., suppress de-wetting of the resist layer) may also be considered [34,107].

4. Interaction Forces between Mold and Resist during Demolding

4.1. Origins of Demolding Forces in Nanoimprint

A major issue in the NIL process is the high defect rate as a result of mechanical pressing, which causes difficult demolding [50,54,108], as also briefly discussed in the previous sections. The adhesion and the lateral and friction forces [109] that originate during imprinting cause the fracture of the mold and/or the resist [50], as well as strong adhesion between the mold and the resist [89,90]. For instance, due to adhesion and friction, the molded polymer film tends to stick to the mold surface, causing a missing pattern in the polymer film (Figure 10a) or polymer pattern deformation after NIL (Figure 10b). For successful patterning of a polymer film on a substrate, the forces acting on the mold–polymer interface must be minimized. The contact adhesion that comes from the attractive van der Waals (vdW) force is usually a small component of the overall adhesion. Bonding across the interface, including chemical bonding and hydrogen bonding, can contribute significantly to the adhesion and friction forces. This is especially true for silicon and silicon oxide (the most commonly used mold materials) surfaces, where many dangling hydroxyl groups can readily form chemical and hydrogen bonds with polymer chains, which leads to a very large adhesion force. The lateral and friction forces are usually attributed to the thermal stress, as a result of the CTE difference between the template and the resist [24], or volume shrinkage of the UV-curable precursor upon exposure [110].

4.2. Thermal Stress in Nanoimprint and the Impact of Demolding Temperature

In the thermal NIL process, internal stress could build up in the polymer, which may result in unwanted structure-transferring defects or pattern cracking [111]. The internal stress relaxation [111,112] or shape recovery [113] after imprinting may also impact the long-term stability of the structured polymer. The previous literature proposed that internal stress accumulation consists of two components. First, when a polymer is heated above its Tg, the forced flowing of the polymer melt into the cavities under volume conservation accumulates internal stresses [49,111,114]. However, these internal stresses may be relaxed or spread over the polymer as a result of polymer molecule disentanglement while the polymer is at its viscous regime above its Tg [50,111,114]. Second, during the cool-down step, a mold-imprinting pressure below Tg may induce stress concentration at the corner of the pattern [50]. Additionally, the difference in CTEs between the mold and the resist may build up thermal stress and usually lead to a compressive pressure against the polymer [24,111]. The lateral thermal stress can be significant as a processing temperature of a few hundred degrees (°C) may be required during imprinting. The resultant friction force could lead to pattern fracture during demolding as the critical defects might already be induced from the previous cool-down step [50]. Experimental investigations indicate that a slow cooling process improves PMMA pattern transfer quality [50]. The slow cooling rate may introduce a lower thermal strain rate, thus affecting the fracture strain of the material (i.e., inhibiting embrittlement) [115,116]. Besides pattern cracking, residual deformations and residual stress relaxation may impede post-processing of the imprinted material [112]. A systematic experimental investigation [111] demonstrated that residual deformations essentially have no dependence on the imprinting temperature, time, and pressure; instead, they are dependent on the cooling conditions (e.g., demolding temperature). For instance, the imprinted PMMA that was demolded at 100 °C shows nearly no shape change after demolding and post-baking (i.e., to accelerate the relaxation processes), as a result of minimal residual stress [111]. In contrast, the samples demolded at 70 and 40 °C exhibit anisotropic shape recovery, which can be catastrophic to pattern post-processing [111]. From the perspective of demolding force, an optimal demolding temperature was determined to be approximately ~85 °C for a PMMA resist [111].

4.3. Resist Shrinkage in UV-NIL and Its Impact on Adhesion and Friction Forces

On the other hand, the chemical bonds between resist molecules switch from van der Waals to covalent during UV-induced curing, and macroscopic densification has been reported in the UV-NIL process [10,62]. The lateral resist shrinkage upon curing is a dual influence between the volume shrinkage of the residual layer (i.e., resist not filled into patterned mold cavities) pushing the resist against the pattern sidewalls [63,64,65,66] and the shrinkage of the polymer confined within the mold cavities that locally compact themselves [109,117]. Usually, the shrinkage force induced by the residual layer is greater; thus, the combined shrinkage force may push the resist within the cavities against the vertical pattern sidewalls [109]. Hence, a thin and uniform residual layer is highly desirable for UV-NIL [34]. The frictional force acting on the sidewalls, which was initiated from the lateral compressive stress, may be substantial for some features with high aspect ratio and rough sidewall surface. Therefore, the demolding process frequently causes pattern replication errors and defects. The demolding process requires further investigations in order to optimize UV-NIL parameters with improved demolding characteristics [10].
The adhesion and friction forces can be measured both in microscale and in macroscale. In the literature, atomic force microscope (AFM) nano-indentation tests were utilized to measure the adhesion force required to separate the AFM tip and the indented resist [90]. Adhesion force can be obtained from the pullout characteristic of the cantilever beam on a polymer surface, while the friction forces can be measured by the lateral deflection of the cantilever beam in AFM when the tip slides on a polymer surface. However, this approach only focuses on a small region, whereas the contact area in nanoimprint is usually at wafer scale [118]. Macroscale force measurement directly quantifies the minimum separation force required to split the mold and the substrate after imprinting. Some researchers [10,118,119,120] have demonstrated a more practical method to measure the demolding force through a uniaxial tensile system, which simulates the parallel demolding procedure. Other experimental research demonstrated the relationship of the total demolding force versus the volume shrinkage of the UV-curable resists [10,51,109,110]. In order to minimize the shrinkage upon curing, approaches such as pre-exposure to induce a pre-cured residual layer before imprint [121], stepwise polymerization [122], pulse UV curing [123], addition of inorganic nanoparticles [124], reducing the concentration of the photocurable components [125], introducing disulfide bonds [126], using monomers with bulky pendant groups [62], or adding the volume-expanding monomer [110] in the polymer formulation have been reported.
Amirsadeghi et al. [120] demonstrated that the elastic modulus of the resist can be reduced through modulating the resist’s crosslinking agent content, which led to decreased adhesion at the resist–mold interface, thus facilitating demolding. When a small amount of crosslinking agent is present, the volume shrinkage and the resultant shrinkage stress during curing are also attenuated [127]; therefore, demolding force may not lead to the fracture of patterned structures. However, the influence of the crosslinking agent is only pronounced in resists with a longer oligomer length. This is because resists with a shorter oligomer length exhibit a Tg higher than the ambient temperature and thus a relatively larger elastic modulus even without any cross-linking agent (Figure 11a) [120]. In addition, Min et al. [110] formulated a novel nanoimprint resist by copolymerization of epoxy and a monomer that undergoes volume expansion due to double-ring structure expansion during acid-catalyzed polymerization. They showed that both the volume shrinkage of the synthesized resist and the consequent demolding force can be diminished by increasing the volume-expanding monomer content (Figure 11b) [110]. In the meantime, the mechanical strength of the cured resist dramatically deteriorated, which may eventually undermine the subsequent patterning due to severe pattern relaxation after imprinting. Further optimization through introducing additional additives into the resist formulation is needed. In addition, some researchers proposed the most appropriate resist mold candidate through measuring the total adhesion force between different molds and commercially available photocurable resists. For example, Perumal et al. [118] compared various template–commercial resist combinations via demolding force measurement, and they found that a high-molecular-weight perfluoropolyether dimethacrylate (H.M.PFPE) template yielded the best release property when AMONIL MMS10 (AMO GmbH, Germany) was utilized as the resist. Taniguchi et al. [119] found that a PAK01 (Toyo Gosei, Japan) UV-curable resist showed smaller adhesive stress compared to that of TSR820 (Teijin Seiki, Japan) when slide-glass was used as a mold.
Recently, we reported that the volume shrinkage could be effectively lowered to ~3% through minimizing applied UV exposure [10]. The trend of decreasing demolding force with the decreasing volume shrinkage is clear (Figure 12a). These results were attributed to the combined influences of the modification of the adhesion and the friction forces as a result of adjusted degree of crosslinking of the polymer resist. Chan et al. showed that a softer template can improve the demolding process [128]. A resist with a lower elastic modulus (resulting from a lower degree of crosslinking) may exhibit decreased adhesion force [129], while reduced volume shrinkage decreases the normal force against the structure sidewalls. These two effects in combination lead to a demolding force reduction. Further, the quantitative experimental investigation shows that the pattern transfer defect rate yields an exponentially rising tendency as a function of the demolding force (Figure 12b). For a resist cured under a slight UV intensity, the majority of the induced stress during demolding is stabilized by plastic deformation of the softer resist while suppressing pattern cracking; in contrast, the resists cured under a large amount of UV irradiation with higher strength may dissipate only a small amount of heat [10]. The brittle failures of either the template or cured resist may take place due to the sudden release of unaccommodated strain energy. Therefore, the degree of crosslinking and the consequent volume shrinkage of the polymer resist upon exposure must be carefully optimized before separation from the mold [10].
The experimental results indicate that the diminished volume shrinkage of the cured polymer is typically associated with the deterioration of its mechanical strength [110]. Even though a mechanically softer resist seems beneficial for the demolding procedure [120], a soft resist might not endure the pulling during demolding, where pattern relaxation takes place [110]. Hence, as long as critical pattern relaxation is not detected, the minimal amount of UV intensity would lead to a smaller total separating force, which considerably reduces the risk of creating defects [10].

4.4. Decoupling Adhesion and Friction Forces during Demolding

Though adhesion and friction forces contribute differently to the polymer-sticking on the mold, as shown in Figure 10, most of the current studies in NIL do not distinguish them, and the sum of the two forces is regarded as the total “adhesion” force [130]. Since actual adhesion and friction forces come from horizontal and vertical surfaces on the mold, respectively, their relative sizes in the overall mold–polymer holding force are determined by the aspect ratio of the mold pattern. A higher aspect ratio is always desired for easier processing after NIL, but the increased vertical surface area inevitably increases the undesired friction force. Knowing the relative sizes of the adhesion and the friction forces allows us to optimize the mold depth. In patterning very high-aspect-ratio polymer structures, friction force may dominate and lead to low yield, which presents a great challenge in creating very high-aspect-ratio polymer structures for specific applications such as polymeric microneedles for drug delivery. Although metal, glass, silicon, and ceramics are also fabricated as microneedles, the rigid piece may break inside the skin, causing pain and possibly swelling of the skin [131]. On the contrary, polymers are preferred, because they absorb water into the polymeric network, leading to swelling of the microneedle and intact removal from the skin [132]. Additionally, due to surfactant chain entanglement, the pullout of the silane chain from the polymer phase contributes differently to the adhesion and the friction forces. For adhesion, the silane chain is pulled out perpendicular to the mold–polymer interface during separation, while for friction, the silane chain is pulled out with shear movement. It is thus necessary to evaluate adhesion and friction forces separately for various types of silane coating in order to make an intelligent choice of silane chain length for specific mold pattern.
Since adhesion and friction forces affect the polymer pattern in different manners, understanding the distinction between them is important for providing strategies of designing the pattern feature and thus minimizing the total separation force as well as pattern replication defects during the demolding process. Amirsadeghi et al. [109] developed a new methodology to enable direct measurement of the adhesion and the friction stresses individually. A schematic of this novel measurement technique is shown in Figure 13. First, molds with equivalent patterns but various aspect ratios are fabricated. The molds are then used to imprint into a polymer layer on a substrate by UV-NIL. Because of the different mold aspect ratios, the mold–polymer contact interface increases as the aspect ratio increases. The total surface responsible for adhesion (red horizontal lines in Figure 13a) remains almost constant; on the other hand, the total friction surface (green vertical lines in Figure 13a) amplifies for molds with higher aspect ratios. The total separation force can then be obtained using a macroscale force measurement tool. By measuring a series of total demolding forces versus mold structural height, where the adhesion surface area is fixed while the friction area increases with the pattern depth, the adhesion and the friction stresses can be extrapolated. As shown in Figure 13b, the extracted slope represents the friction stress, while the line interception gives the adhesion stress. These measured forces can then be used to estimate the actual holding forces between the mold and the polymer resist.
Amirsadeghi et al. [93] measured demolding force as a function of grating pattern height for resists with various compositions. The demolding force increased with the stamp depth, and the obtained shrinkage stress was typically greater than the adhesion stress. They also measured the shrinkage stress for pillar structures, where the resultant shrinkage stress was larger compared to that of gratings with similar linewidth. It was plausible that the resist shrunk in all directions against the pillars, while the resist may shrink without any constraint in the direction parallel to the gratings [93]. We measured the demolding force (Figure 14a) between the ALD-covered pillar molds and the cured resists in UV-NIL, and the corresponding results were compared to the molds with low-energy surfactant coatings for easy mold release and pristine silicon molds, respectively [133]. Since the geometry of the template was known, the adhesion and friction stresses (Figure 14b) were extrapolated from the zero intercept and the slope of the linear fit described in Figure 13b for each surface modification. The silicon pillars coated with FDTS yield attenuated adhesion stress while friction stress remains unchanged compared to that of pristine silicon mold because the friction coefficient of the rough sidewall might be the predominant factor controlling the magnitude of the friction stress. Further, the silicon molds covered with an ALD-induced conformal layer plus FDTS monolayer exhibit lowered friction stress, though their adhesion stress is comparable to that of samples covered with only FDTS. Though the friction stress diminishes by only 25.3% compared to that of the template with merely an FDTS coating (Figure 14b), a slight decrease in the demolding force may lead to a great improvement in the transfer quality. In general, the extrapolated friction stress acting on the sidewalls is greater than the adhesion stress on all specimens. Therefore, approaches to reduce both adhesion and friction stresses are necessary, especially for high-aspect-ratio patterns where the friction force can be large enough to disrupt the polymer pattern during demolding. On the other hand, a shallow mold structure may reduce the friction stress and exhibit a lower defect density. In view of our experimental results, ALD along with FDTS coverings on the imprint mold effectively decrease the total demolding force. In addition, the sequential multi-layer film (e.g., ALD and FDTS layers) demonstrated improved mechanical and chemical stabilities of the hydrophobic monolayer [134]. The improved stabilities were attributed to the augmented density of the reactive hydroxyl groups on the ALD-grown oxide layer for covalently binding the fluorinated silanes [134], consequently resulting in high coating quality of the monolayer.

5. Conclusions

Nano/microstructured materials with novel or enhanced properties have many useful applications in modern devices. NIL is a viable method for pattern replication on various materials, and it carries both low cost and high throughput compared to conventional lithography approaches such as EBL and photolithography. Both thermal and UV-NIL impart difficult separation between the template and the imprinted polymer during demolding, as a result of substantial compressive strain accumulation induced by CTE mismatch or volume shrinkage upon curing. The factors contributing to defect generation in NIL and possible solutions to alleviate difficult demolding, such as alternating resist materials, employing imprint mold surface modifications, and finely controlling NIL process parameters, are deliberated. Overall, this review on interfacial interaction between the mold and the resist aims to bring insights into optimizing the NIL process and to inspire new approaches to minimize interfacial interactions to mitigate defect generation during demolding. Although there is already much research on the nanoimprint process, further investigations into defect mechanisms are still needed to fully exploit the potential of NIL in commercial manufacturing of high-resolution structures for electronic, photonic, and bioengineering applications.

Author Contributions

Conceptualization, M.L. and X.C.; methodology, M.L.; software, M.L.; validation, M.L.; formal analysis, M.L.; investigation, M.L., Y.C. and W.L.; resources, M.L.; data curation, M.L.; writing—original draft preparation, M.L.; writing—review and editing, M.L. and X.C.; visualization, M.L. and X.C.; supervision, M.L. and X.C.; project administration, M.L.; funding acquisition, X.C. All authors have read and agreed to the published version of the manuscript.

Funding

We thank the financial support from the Department of Science and Technology of Guangdong Province (Grant No.: 2020B0101030001) and the Shenzhen Science and Technology Innovation Committee for Shenzhen Key Laboratory for Nanoimprint Technology (Grant No.: ZDSYS20140509142721431). Use of SUSTech Core Research Facilities is also acknowledged.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Yu, C.-C.; Chen, H.-L. Nanoimprint technology for patterning functional materials and its applications. Microelectron. Eng. 2015, 132, 98–119. [Google Scholar] [CrossRef]
  2. Cox, L.M.; Martinez, A.M.; Blevins, A.K.; Sowan, N.; Ding, Y.; Bowman, C.N. Nanoimprint lithography: Emergent materials and methods of actuation. Nano Today 2020, 31, 100838. [Google Scholar] [CrossRef]
  3. Chou, S.Y.; Krauss, P.R.; Zhang, W.; Guo, L.; Zhuang, L. Sub-10 nm imprint lithography and applications. J. Vac. Sci. Technol. B 1997, 15, 2897–2904. [Google Scholar] [CrossRef]
  4. Austin, M.D.; Ge, H.; Wu, W.; Li, M.; Yu, Z.; Wasserman, D.; Lyon, S.A.; Chou, S.Y. Fabrication of 5nm linewidth and 14nm pitch features by nanoimprint lithography. Appl. Phys. Lett. 2004, 84, 5299–5301. [Google Scholar] [CrossRef] [Green Version]
  5. Guo, L.J. Nanoimprint Lithography: Methods and Material Requirements. Adv. Mater. 2007, 19, 495–513. [Google Scholar] [CrossRef] [Green Version]
  6. Kooy, N.; Mohamed, K.; Pin, L.T.; Guan, O.S. A review of roll-to-roll nanoimprint lithography. Nanoscale Res. Lett. 2014, 9, 320. [Google Scholar] [CrossRef] [Green Version]
  7. Zhou, W.; Min, G.; Zhang, J.; Liu, Y.; Wang, J.; Zhang, Y.; Sun, F. Nanoimprint Lithography: A Processing Technique for Nanofabrication Advancement. Nano-Micro Lett. 2011, 3, 135–140. [Google Scholar] [CrossRef] [Green Version]
  8. Yang, Y.; Mielczarek, K.; Aryal, M.; Zakhidov, A.; Hu, W. Nanoimprinted Polymer Solar Cell. ACS Nano 2012, 6, 2877–2892. [Google Scholar] [CrossRef]
  9. Chou, S.Y.; Krauss, P.R.; Renstrom, P.J. Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett. 1995, 67, 3114–3116. [Google Scholar] [CrossRef] [Green Version]
  10. Li, M.; Chen, Y.; Luo, W.; Cheng, X. Nanoindentation Behavior of UV-Curable Resist and Its Correlation with Pat-terning Defect in Nanoimprint Lithography. J. Micromech. Microeng. 2020, 30, 065010. [Google Scholar] [CrossRef]
  11. Li, M.; Huang, X.; Luo, W.; Chen, Y.; Han, F.; Cheng, X. Thermal degradation behavior of self-assembled monolayer surfactant on silicon substrate. J. Vac. Sci. Technol. B 2020, 38, 032602. [Google Scholar] [CrossRef] [Green Version]
  12. Cheng, X.; Guo, L.J. A combined-nanoimprint-and-photolithography patterning technique. Microelectron. Eng. 2004, 71, 277–282. [Google Scholar] [CrossRef]
  13. Zhang, H.; Lu, Z.; Li, F. Fabrication of a curved linear grating by using a laser direct writer system. Opt. Commun. 2006, 266, 249–252. [Google Scholar] [CrossRef]
  14. Vieu, C.; Carcenac, F.; Pépin, A.; Chen, Y.; Mejias, M.; Lebib, A.; Manin-Ferlazzo, L.; Couraud, L.; Launois, H. Electron beam lithography: Resolution limits and applications. Appl. Surf. Sci. 2000, 164, 111–117. [Google Scholar] [CrossRef]
  15. Chen, Y. Nanofabrication by electron beam lithography and its applications: A review. Microelectron. Eng. 2015, 135, 57–72. [Google Scholar] [CrossRef]
  16. Zhang, Y.; Li, R.; Zhang, Y.; Liu, D.; Deng, H. Indiscriminate revelation of dislocations in single crystal SiC by inductively coupled plasma etching. J. Eur. Ceram. Soc. 2019, 39, 2831–2838. [Google Scholar] [CrossRef]
  17. Lian, Y.; Mu, C.; Xie, C.; Yao, B. Experimental investigation of inductively coupled plasma etching on cemented carbides. Vacuum 2019, 162, 101–109. [Google Scholar] [CrossRef]
  18. Chang, B.; Leussink, P.; Jensen, F.; Hübner, J.; Jansen, H. DREM: Infinite etch selectivity and optimized scallop size distribution with conventional photoresists in an adapted multiplexed Bosch DRIE process. Microelectron. Eng. 2018, 191, 77–83. [Google Scholar] [CrossRef]
  19. Jo, S.-B.; Lee, M.-W.; Lee, S.-G.; Lee, E.-H.; Park, S.-G.; O, B.-H. Characterization of a modified Bosch-type process for silicon mold fabrication. J. Vac. Sci. Technol. A 2005, 23, 905. [Google Scholar] [CrossRef]
  20. Jansen, H.V.; De Boer, M.J.; Unnikrishnan, S.; Louwerse, M.C.; Elwenspoek, M.C. Black silicon method: X. A review on high speed and selective plasma etching of silicon with profile control: An in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment. J. Micromech. Microeng. 2009, 19, 033001. [Google Scholar] [CrossRef]
  21. Okulova, N.; Johansen, P.; Christensen, L.; Taboryski, R.J. Replication of micro-sized pillars in polypropylene using the extrusion coating process. Microelectron. Eng. 2017, 176, 54–57. [Google Scholar] [CrossRef] [Green Version]
  22. Akter, T.; Desai, S. Developing a predictive model for nanoimprint lithography using artificial neural networks. Mater. Des. 2018, 160, 836–848. [Google Scholar] [CrossRef]
  23. Schift, H. Nanoimprint lithography: An old story in modern times? A review. J. Vac. Sci. Technol. B 2008, 26, 458. [Google Scholar] [CrossRef] [Green Version]
  24. Jiang, Y.; Luo, B.; Cheng, X. Enhanced Thermal Stability of Thermoplastic Polymer Nanostructures for Nanoim-print Lithography. Materials 2019, 12, 545. [Google Scholar] [CrossRef] [Green Version]
  25. Ding, Y.; Ro, H.W.; Douglas, J.F.; Jones, R.L.; Hine, D.R.; Karim, A.; Soles, C.L. Polymer Viscoelasticity and Residual Stress Effects on Nanoimprint Lithography. Adv. Mater. 2007, 19, 1377–1382. [Google Scholar] [CrossRef]
  26. Leveder, T.; Rognin, E.; Landis, S.; Davoust, L. Reflow of supported sub-100nm polymer films as a characterization process for NanoImprint lithography. Microelectron. Eng. 2011, 88, 1867–1870. [Google Scholar] [CrossRef]
  27. Sreenivasan, S.V. Nanoimprint lithography steppers for volume fabrication of leading-edge semiconductor integrated circuits. Microsyst. Nanoeng. 2017, 3, 17075. [Google Scholar] [CrossRef] [Green Version]
  28. Liang, X.; Tan, H.; Fu, Z.; Chou, S.Y. Air bubble formation and dissolution in dispensing nanoimprint lithography. Nanotechnology 2006, 18, 025303. [Google Scholar] [CrossRef] [Green Version]
  29. Hiroshima, H.; Komuro, M.; Kasahara, N.; Kurashima, Y.; Taniguchi, J. Elimination of Pattern Defects of Nanoim-print under Atmospheric Conditions. Jpn. J. Appl. Phys. 2003, 42, 3849–3853. [Google Scholar] [CrossRef]
  30. Chauhan, S.; Palmieri, F.; Bonnecaze, R.T.; Willson, C.G. Pinning at template feature edges for step and flash imprint lithography. J. Appl. Phys. 2009, 106, 034902. [Google Scholar] [CrossRef]
  31. Chauhan, S.; Palmieri, F.; Bonnecaze, R.T.; Willson, C.G. Feature filling modeling for step and flash imprint lithography. J. Vac. Sci. Technol. B 2009, 27, 1926–1932. [Google Scholar] [CrossRef]
  32. Kirchner, R.; Nüske, L.; Finn, A.; Lu, B.; Fischer, W.-J. Stamp-and-repeat UV-imprinting of spin-coated films: Pre-exposure and imprint defects. Microelectron. Eng. 2012, 97, 117–121. [Google Scholar] [CrossRef]
  33. Fuchs, A.; Bender, M.; Plachetka, U.; Hermanns, U.; Kurz, H. Ultraviolet-based nanoimprint at reduced environ-mental pressure. J. Vac. Sci. Technol. B 2005, 23, 2925–2928. [Google Scholar] [CrossRef]
  34. Matsui, S.; Hiroshima, H.; Hirai, Y.; Nakagawa, M. Innovative UV nanoimprint lithography using a condensable alternative chlorofluorocarbon atmosphere. Microelectron. Eng. 2015, 133, 134–155. [Google Scholar] [CrossRef]
  35. Hiroshima, H.; Komuro, M. Control of Bubble Defects in UV Nanoimprint. Jpn. J. Appl. Phys. 2007, 46, 6391–6394. [Google Scholar] [CrossRef]
  36. Iyoshi, S.; Okada, M.; Katase, T.; Tone, K.; Kobayashi, K.; Kaneko, S.; Haruyama, Y.; Nakagawa, M.; Hiroshima, H.; Matsui, S. Step and Repeat Ultraviolet Nanoimprinting under Pentafluoropropane Gas Ambient. Jpn. J. Appl. Phys. 2012, 51, 06FJ08. [Google Scholar] [CrossRef]
  37. Nakagawa, M.; Endo, A.; Tsukidate, Y. Investigation of nonreactive fluoroalkyl-containing surfactants for reducing release energy of ultraviolet-cured acrylate resins. J. Vac. Sci. Technol. B 2012, 30, 06FB10. [Google Scholar] [CrossRef]
  38. Hiroshima, H. Release force reduction in UV nanoimprint by mold orientation control and by gas environment. J. Vac. Sci. Technol. B 2009, 27, 2862. [Google Scholar] [CrossRef]
  39. Wang, Q.; Hiroshima, H. Effects of Environmental Gas in UV Nanoimprint on the Characteristics of UV-Curable Resin. Jpn. J. Appl. Phys. 2010, 49, 06GL04. [Google Scholar] [CrossRef]
  40. Kaneko, S.; Kobayashi, K.; Tsukidate, Y.; Hiroshima, H.; Matsui, S.; Nakagawa, M. Morphological Changes in Ultraviolet-Nanoimprinted Resin Patterns Caused by Ultraviolet-Curable Resins Absorbing Pentafluoropropane. Jpn. J. Appl. Phys. 2012, 51, 06FJ05. [Google Scholar] [CrossRef]
  41. Decker, C.; Jenkins, A.D. Kinetic approach of oxygen inhibition in ultraviolet- and laser-induced polymerizations. Macromolecules 1985, 18, 1241–1244. [Google Scholar] [CrossRef]
  42. Dickey, M.D.; Burns, R.L.; Kim, E.K.; Johnson, S.C.; Stacey, N.A.; Willson, C.G. Study of the kinetics of step and flash imprint lithography photopolymerization. AIChE J. 2005, 51, 2547–2555. [Google Scholar] [CrossRef]
  43. Decker, C. Kinetic Study and New Applications of UV Radiation Curing. Macromol. Rapid Commun. 2002, 23, 1067–1093. [Google Scholar] [CrossRef]
  44. Cao, H.; Currie, E.; Tilley, M.; Jean, Y.C. Oxygen Inhibition Effect on Surface Properties of UV-Curable Acrylate Coatings, Photoinitiated Polymerization; American Chemical Society (ACS): Washington, DC, USA, 2003; pp. 152–164. [Google Scholar]
  45. Azeredo, B.P.; Lin, Y.-W.; Avagyan, A.; Sivaguru, M.; Hsu, K.; Ferreira, P. Direct Imprinting of Porous Silicon via Metal-Assisted Chemical Etching. Adv. Funct. Mater. 2016, 26, 2929–2939. [Google Scholar] [CrossRef]
  46. Zhang, L.; Zhang, J.; Yuan, D.; Han, L.; Zhou, J.-Z.; Tian, Z.-W.; Tian, Z.-Q.; Zhan, D. Electrochemical nanoimprint lithography directly on n-type crystalline silicon (111) wafer. Electrochem. Commun. 2017, 75, 1–4. [Google Scholar] [CrossRef]
  47. Zhang, J.; Zhang, L.; Han, L.; Tian, Z.-W.; Tian, Z.-Q.; Zhan, D. Electrochemical nanoimprint lithography: When nanoimprint lithography meets metal assisted chemical etching. Nanoscale 2017, 9, 7476–7482. [Google Scholar] [CrossRef]
  48. Zhang, J.; Zhang, L.; Wang, W.; Han, L.; Jia, J.-C.; Tian, Z.-W.; Tian, Z.-Q.; Zhan, D. Contact electrification induced interfacial reactions and direct electrochemical nanoimprint lithography in n-type gallium arsenate wafer. Chem. Sci. 2016, 8, 2407–2412. [Google Scholar] [CrossRef] [Green Version]
  49. Hirai, Y.; Fujiwara, M.; Okuno, T.; Tanaka, Y.; Endo, M.; Irie, S.; Nakagawa, K.; Sasago, M. Study of the resist defor-mation in nanoimprint lithography. J. Vac. Sci. Technol. B 2001, 19, 2811–2815. [Google Scholar] [CrossRef]
  50. Hirai, Y.; Yoshida, S.; Takagi, N. Defect analysis in thermal nanoimprint lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2003, 21, 2765. [Google Scholar] [CrossRef]
  51. Johnson, S.; Burns, R.; Kim, E.K.; Dickey, M.; Schmid, G.; Meiring, J.; Burns, S.; Willson, C.G.; Convey, D.; Wei, Y.; et al. Effects of etch barrier densification on step and flash imprint lithography. J. Vac. Sci. Technol. B 2005, 23, 2553. [Google Scholar] [CrossRef]
  52. Shibata, M.; Horiba, A.; Nagaoka, Y.; Kawata, H.; Yasuda, M.; Hirai, Y. Process-simulation system for UV-nanoimprint lithography. J. Vac. Sci. Technol. B 2010, 28, C6M108–C6M113. [Google Scholar] [CrossRef]
  53. Takai, R.; Yasuda, M.; Tochino, T.; Kawata, H.; Hirai, Y. Computational study of the demolding process in nanoimprint lithography. J. Vac. Sci. Technol. B 2014, 32, 06FG02. [Google Scholar] [CrossRef]
  54. Tochino, T.; Shiotsu, T.; Uemura, K.; Yasuda, M.; Kawata, H.; Hirai, Y. Impact of resist shrinkage on the template release process in nanoimprint lithography. J. Vac. Sci. Technol. B 2014, 32, 06FG08. [Google Scholar] [CrossRef]
  55. Shiotsu, T.; Nishikura, N.; Yasuda, M.; Kawata, H.; Hirai, Y. Simulation study on the template release mechanism and damage estimation for various release methods in nanoimprint lithography. J. Vac. Sci. Technol. B 2013, 31, 6. [Google Scholar] [CrossRef]
  56. Watanabe, K.; Iida, T.; Yasuda, M.; Kawata, H.; Hirai, Y. Study on induced strain in direct nanoimprint lithography. Jpn. J. Appl. Phys. 2018, 57, 06HG04. [Google Scholar] [CrossRef]
  57. Taylor, H.; Boning, D.; Iliescu, C. A razor-blade test of the demolding energy in a thermoplastic embossing process. J. Micromech. Microeng. 2011, 21, 067002. [Google Scholar] [CrossRef]
  58. Taylor, H.; Lam, Y.C.; Boning, D. A computationally simple method for simulating the micro-embossing of thermoplastic layers. J. Micromech. Microeng. 2009, 19, 075007. [Google Scholar] [CrossRef]
  59. Taylor, H.; Hale, M.; Lam, Y.C.; Boning, D. A method for the accelerated simulation of micro-embossed topographies in thermoplastic polymers. J. Micromech. Microeng. 2010, 20, 065001. [Google Scholar] [CrossRef]
  60. Taylor, H.; Lam, Y.C.; Boning, D. An investigation of the detrimental impact of trapped air in thermoplastic microembossing. J. Micromech. Microeng. 2010, 20, 065014. [Google Scholar] [CrossRef]
  61. Taylor, H.K. Defectivity prediction for droplet-dispensed UV nanoimprint lithography, enabled by fast simulation of resin flow at feature, droplet, and template scales. Altern. Lithogr. Technol. VIII 2016, 9777, 97770E. [Google Scholar] [CrossRef]
  62. Colburn, M.; Suez, I.; Choi, B.J.; Meissl, M.; Bailey, T.; Sreenivasan, S.V.; Ekerdt, J.G.; Willson, C.G. Characterization and modeling of volumetric and mechanical properties for step and flash imprint lithography photopolymers. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2001, 19, 2685–2689. [Google Scholar] [CrossRef] [Green Version]
  63. Worgull, M.; Heckele, M.; Schomburg, W.K. Large-scale hot embossing. Microsyst. Technol. 2005, 12, 110–115. [Google Scholar] [CrossRef]
  64. Guo, Y.; Liu, G.; Xiong, Y.; Tian, Y. Study of the demolding process—Implications for thermal stress, adhesion and friction control. J. Micromech. Microeng. 2006, 17, 9–19. [Google Scholar] [CrossRef]
  65. Kawata, H.; Kubo, K.; Watanabe, Y.; Sakamoto, J.; Yasuda, M.; Hirai, Y. Effects of Mold Side Wall Profile on Demolding Characteristics. Jpn. J. Appl. Phys. 2010, 49, 06GL15. [Google Scholar] [CrossRef]
  66. Landis, S.; Chaix, N.; Gourgon, C.; Leveder, T. Quantitative characterizations of a nanopatterned bonded wafer: Force determination for nanoimprint lithography stamp removal. Nanotechnology 2008, 19, 125305. [Google Scholar] [CrossRef] [PubMed]
  67. Beck, M.; Graczyk, M.; Maximov, I.; Sarwe, E.-L.; Ling, T.; Keil, M.; Montelius, L. Improving stamps for 10 nm level wafer scale nanoimprint lithography. Microelectron. Eng. 2002, 61–62, 441–448. [Google Scholar] [CrossRef]
  68. Fu, X.; Yang, W.; He, M.; Li, Y.; Hao, Z.; He, Y.; Yuan, C.; Cui, Y.; Ge, H. A titanium-nickel composite mold with low surface energy for thermal nanoimprint lithography. Mater. Lett. 2020, 260, 126867. [Google Scholar] [CrossRef]
  69. Zhou, W.; Zhang, J.; Liu, Y.; Li, X.; Niu, X.; Song, Z.; Min, G.; Wan, Y.; Shi, L.; Feng, S. Characterization of an-ti-adhesive self-assembled monolayer for nanoimprint lithography. Appl. Surf. Sci. 2008, 255, 2885–2889. [Google Scholar] [CrossRef]
  70. Bunker, B.C.; Carpick, R.W.; Assink, R.A.; Thomas, M.L.; Hankins, M.G.; Voigt, J.A.; Sipola, D.; De Boer, M.P.; Gulley, G.L. The Impact of Solution Agglomeration on the Deposition of Self-Assembled Monolayers. Langmuir 2000, 16, 7742–7751. [Google Scholar] [CrossRef] [Green Version]
  71. Jung, G.-Y.; Li, Z.; Wu, W.; Chen, Y.; Olynick, D.L.; Wang, S.-Y.; Tong, W.M.; Williams, R.S. Vapor-Phase Self-Assembled Monolayer for Improved Mold Release in Nanoimprint Lithography. Langmuir 2005, 21, 1158–1161. [Google Scholar] [CrossRef]
  72. Bossard, M.; Boussey, J.; le Drogoff, B.; Chaker, M. Alternative nano-structured thin-film materials used as dura-ble thermal nanoimprint lithography templates. Nanotechnology 2016, 27, 075302. [Google Scholar] [CrossRef] [PubMed]
  73. Houle, F.A.; Rettner, C.T.; Miller, D.C.; Sooriyakumaran, R. Antiadhesion considerations for UV nanoimprint lithography. Appl. Phys. Lett. 2007, 90, 213103. [Google Scholar] [CrossRef]
  74. Tao, L.; Ramachandran, S.; Nelson, C.T.; Lin, M.; Overzet, L.J.; Goeckner, M.; Lee, G.; Willson, C.G.; Wu, W.; Hu, W. Durable diamond-like carbon templates for UV nanoimprint lithography. Nanotechnol. 2008, 19, 105302. [Google Scholar] [CrossRef] [Green Version]
  75. Ramachandran, S.; Tao, L.; Lee, T.H.; Sant, S.; Overzet, L.J.; Goeckner, M.J.; Kim, M.J.; Lee, G.S.; Hu, W. Deposition and patterning of diamondlike carbon as antiwear nanoimprint templates. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2006, 24, 2993. [Google Scholar] [CrossRef] [Green Version]
  76. Jaszewski, R.W.; Schift, H.; Gröning, P.; Margaritondo, G. Properties of thin anti-adhesive films used for the replication of microstructures in polymers. Microelectron. Eng. 1997, 35, 381–384. [Google Scholar] [CrossRef]
  77. Lehmann, H.W.; Frick, K.; Widmer, R.; Vossen, J.L.; James, E. Reactive sputtering of PTFE films in argon-CF4 mixtures. Thin Solid Films 1978, 52, 231–235. [Google Scholar] [CrossRef]
  78. Lee, J.; Lee, J.; Lee, H.W.; Kwon, K.-H. Anti-adhesive characteristics of CHF3/O2 and C4F8/O2 plasma-modified silicon molds for nanoimprint lithography. Mater. Res. Bull. 2015, 69, 120–125. [Google Scholar] [CrossRef]
  79. Park, S.; Schift, H.; Padeste, C.; Schnyder, B.; Kötz, R.; Gobrecht, J. Anti-adhesive layers on nickel stamps for nanoimprint lithography. Microelectron. Eng. 2004, 73-74, 196–201. [Google Scholar] [CrossRef]
  80. Ressier, L.; Martin, C.; Viallet, B.; Grisolia, J.; Peyrade, J.-P. Control of micro- and nanopatterns of octadecyltri-methoxysilane monolayers using nanoimprint lithography and atmospheric chemical vapor deposition. J. Vac. Sci. Technol. B 2006, 25, 17–20. [Google Scholar] [CrossRef]
  81. Zhuang, Y.X.; Hansen, O.; Knieling, T.; Wang, C.; Rombach, P.; Lang, W.; Benecke, W.; Kehlenbeck, M.; Koblitz, J. Thermal stability of vapor phase deposited self-assembled monolayers for MEMS anti-stiction. J. Micromech. Microeng. 2006, 16, 2259–2264. [Google Scholar] [CrossRef]
  82. Kim, B.H.; Chung, T.D.; Oh, C.H.; Chun, K. A new organic modifier for anti-stiction. J. Microelectromech. Syst. 2001, 10, 33–40. [Google Scholar] [CrossRef]
  83. Stevens, M.J. Thoughts on the Structure of Alkylsilane Monolayers. Langmuir 1999, 15, 2773–2778. [Google Scholar] [CrossRef]
  84. Genzer, J.; Efimenko, K.; Fischer, D.A. Molecular Orientation and Grafting Density in Semifluorinated Self-Assembled Monolayers of Mono-, Di-, and Trichloro Silanes on Silica Substrates. Langmuir 2002, 18, 9307–9311. [Google Scholar] [CrossRef]
  85. Fréchette, J.; Maboudian, R.; Carraro, C. Thermal Behavior of Perfluoroalkylsiloxane Monolayers on the Oxidized Si(100) Surface. Langmuir 2006, 22, 2726–2730. [Google Scholar] [CrossRef]
  86. Hair, M.; Tripp, C. Alkylchlorosilane reactions at the silica surface. Colloids Surf. A Physicochem. Eng. Asp. 1995, 105, 95–103. [Google Scholar] [CrossRef]
  87. Tripp, C.P.; Hair, M.L. Reaction of chloromethylsilanes with silica: A low-frequency infrared study. Langmuir 1991, 7, 923–927. [Google Scholar] [CrossRef]
  88. Tripp, C.P.; Hair, M.L. An infrared study of the reaction of octadecyltrichlorosilane with silica. Langmuir 1992, 8, 1120–1126. [Google Scholar] [CrossRef]
  89. Okada, M.; Yamashita, D.; Unno, N.; Taniguchi, J. Defect analysis and lifetime evaluation of a release-coated nanoimprint mold. Microelectron. Eng. 2014, 123, 117–120. [Google Scholar] [CrossRef]
  90. Tallal, J.; Gordon, M.; Berton, K.; Charley, A.; Peyrade, D. AFM characterization of anti-sticking layers used in nanoimprint. Microelectron. Eng. 2006, 83, 851–854. [Google Scholar] [CrossRef]
  91. Matsubara, H.; Kikugawa, G.; Bessho, T.; Yamashita, S.; Ohara, T. Understanding the chain length dependence of thermal conductivity of liquid alcohols at 298 K on the basis of molecular-scale energy transfer. Fluid Phase Equilibria 2017, 441, 24–32. [Google Scholar] [CrossRef]
  92. Matsubara, H.; Kikugawa, G.; Bessho, T.; Yamashita, S.; Ohara, T. Effects of molecular structure on microscopic heat transport in chain polymer liquids. J. Chem. Phys. 2015, 142, 164509. [Google Scholar] [CrossRef]
  93. Gao, Y.; Müller-Plathe, F. Effect of grafted chains on the heat transfer between carbon nanotubes in a polyam-ide-6.6 matrix: A molecular dynamics study. Polymers 2017, 129, 228–234. [Google Scholar] [CrossRef]
  94. Yan, X.Z.; Hansen, O.; Knieling, T.; Wang, C.; Rombach, P.; Lang, W.; Benecke, W.; Kehlenbeck, M.; Koblitz, J. Vapor-Phase Self-Assembled Monolayers for Anti-Stiction Applications in MEMS. J. Microelectromech. Syst. 2007, 16, 1451–1460. [Google Scholar]
  95. Ye, T.; Wynn, D.; Dudek, A.R.; Borguet, E. Photoreactivity of Alkylsiloxane Self-Assembled Monolayers on Silicon Oxide Surfaces. Langmuir 2001, 17, 4497–4500. [Google Scholar] [CrossRef]
  96. Tasker, S.; Chambers, R.D.; Badyal, J.P.S. Surface Defluorination of PTFE by Sodium Atoms. J. Phys. Chem. 1994, 98, 12442–12446. [Google Scholar] [CrossRef]
  97. Houle, F.A.; Guyer, E.; Miller, D.C.; Dauskardt, R. Adhesion between template materials and UV-cured nanoim-print resists. J. Vac. Sci. Technol. B 2007, 25, 1179–1185. [Google Scholar] [CrossRef]
  98. Wasserman, S.R.; Tao, Y.T.; Whitesides, G.M. Structure and reactivity of alkylsiloxane monolayers formed by reaction of alkyltrichlorosilanes on silicon substrates. Langmuir 1989, 5, 1074–1087. [Google Scholar] [CrossRef]
  99. Brzoska, J.B.; Shahidzadeh, N.; Rondelez, F. Evidence of a transition temperature for the optimum deposition of grafted monolayer coatings. Nat. Cell Biol. 1992, 360, 719–721. [Google Scholar] [CrossRef]
  100. Wu, K.; Wang, X.; Kim, E.K.; Willson, C.G.; Ekerdt, J.G. Experimental and Theoretical Investigation on Surfactant Segregation in Imprint Lithography. Langmuir 2007, 23, 1166–1170. [Google Scholar] [CrossRef] [PubMed]
  101. Bongiovanni, R.; Sangermano, M.; Malucelli, G.; Priola, A.; Leonardi, A.; Ameduri, B.; Pollicino, A.; Recca, A. Fluorinated vinyl ethers as new surface agents in the photocationic polymerization of vinyl ether resins. J. Polym. Sci. Part A Polym. Chem. 2003, 41, 2890–2897. [Google Scholar] [CrossRef]
  102. Ameduri, B.; Bongiovanni, R.; Lombardi, V.; Pollicino, A.; Priola, A.; Recca, A. Effect of the structural parameters of a series of fluoromonoacrylates on the surface properties of cured films. J. Polym. Sci. Part A Polym. Chem. 2001, 39, 4227–4235. [Google Scholar] [CrossRef]
  103. Weber, T.; Käsebier, T.; Szeghalmi, A.; Knez, M.; Kley, E.-B.; Tünnermann, A. Iridium wire grid polarizer fabricated using atomic layer deposition. Nanoscale Res. Lett. 2011, 6, 558. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  104. Hsu, Y.; Fang, X.; Wang, L.A.; Zan, H.-W.; Meng, H.-F.; Yang, S.-H. Sub-100 nm ALD-assisted nanoimprint lithography for realizing vertical organic transistors with high ON/OFF ratio and high output current. Org. Electron. 2014, 15, 3609–3614. [Google Scholar] [CrossRef]
  105. Hussain, M.M.; Labelle, E.; Sassman, B.; Gebara, G.; Lanee, S.; Moumen, N.; Larson, L. Deposition thickness based high-throughput nano-imprint template. Microelectron. Eng. 2007, 84, 594–598. [Google Scholar] [CrossRef]
  106. Güder, F.; Yang, Y.; Krüger, M.; Stevens, G.B.; Zacharias, M. Atomic Layer Deposition on Phase-Shift Lithography Generated Photoresist Patterns for 1D Nanochannel Fabrication. ACS Appl. Mater. Interfaces 2010, 2, 3473–3478. [Google Scholar] [CrossRef]
  107. Oda, H.; Ohtake, T.; Takaoka, T.; Nakagawa, M. Dewetting Photocontrol of Poly(styrene) Thin Films by a Photo-crosslinkable Monolayer in Thermal Nanoimprint Lithography. J. Photopolym. Sci. Technol. 2009, 22, 195–199. [Google Scholar] [CrossRef] [Green Version]
  108. Zhong, Z.W.; Shan, X.C.; Yao, Y.C. Investigation of Antiadhesive Coatings for Nanoimprinting Lithography. Mater. Manuf. Process. 2010, 25, 658–664. [Google Scholar] [CrossRef]
  109. Amirsadeghi, A.; Lee, J.J.; Park, S. Polymerization shrinkage stress measurement for a UV-curable resist in nanoimprint lithography. J. Micromech. Microeng. 2011, 21, 115013. [Google Scholar] [CrossRef]
  110. Min, H.; Zheng, N.; Fan, Z.; Jiang, Y.; Cheng, X. UV-curable nanoimprint resist with liquid volume-expanding monomers. Microelectron. Eng. 2019, 205, 32–36. [Google Scholar] [CrossRef]
  111. Fernandez-Cuesta, I.; Borrisé, X.; Retolaza, A.; Merino, S.; Mendels, D.-A.; Hansen, O.; Kristensen, A.; Perez-Murano, F. Determination of stress build-up during nanoimprint process in triangular polymer structures. Microelectron. Eng. 2008, 85, 838–841. [Google Scholar] [CrossRef]
  112. Ro, H.W.; Ding, Y.; Lee, H.-J.; Hines, D.R.; Jones, R.L.; Lin, E.K.; Karim, A.; Wu, W.-L.; Soles, C.L. Evidence for internal stresses induced by nanoimprint lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2006, 24, 2973. [Google Scholar] [CrossRef] [Green Version]
  113. Bogdanski, N.; Wissen, M.; Möllenbeck, S.; Scheer, H.-C. Structure size dependent recovery of thin polystyrene layers in thermal imprint lithography. Microelectron. Eng. 2007, 84, 860–863. [Google Scholar] [CrossRef]
  114. Scheer, H.-C.; Bogdanski, N.; Wissen, M.; Konishi, T.; Hirai, Y. Profile evolution during thermal nanoimprint. Microelectron. Eng. 2006, 83, 843–846. [Google Scholar] [CrossRef]
  115. Wang, B.; Xiao, X.; Astakhov, V.P.; Liu, Z. The effects of stress triaxiality and strain rate on the fracture strain of Ti6Al4V. Eng. Fract. Mech. 2019, 219, 106627. [Google Scholar] [CrossRef]
  116. Habib, S.A.; Lloyd, J.T.; Meredith, C.S.; Khan, A.S.; Schoenfeld, S.E. Fracture of an anisotropic rare-earth-containing magnesium alloy (ZEK100) at different stress states and strain rates: Experiments and modeling. Int. J. Plast. 2019, 122, 285–318. [Google Scholar] [CrossRef]
  117. Chan-Park, M.B.; Lam, Y.C.; Laulia, P.; Joshi, S.C. Simulation and Investigation of Factors Affecting High Aspect Ratio UV Embossing. Langmuir 2005, 21, 2000–2007. [Google Scholar] [CrossRef]
  118. Perumal, J.; Yoon, T.H.; Jang, H.S.; Lee, J.J.; Kim, D.P. Adhesion force measurement between the stamp and the resin in ultraviolet nanoimprint lithography—an investigative approach. Nanotechnology 2009, 20, 055704. [Google Scholar] [CrossRef] [PubMed]
  119. Taniguchi, J.; Kawasaki, T.; Tokano, Y.; Kogo, Y.; Miyamoto, I.; Komuro, M.; Hiroshima, H.; Sakai, N.; Tada, K. Measurement of Adhesive Force Between Mold and Photocurable Resin in Imprint Technology. Jpn. J. Appl. Phys. 2002, 41, 4194–4197. [Google Scholar] [CrossRef]
  120. Amirsadeghi, A.; Lee, J.J.; Park, S. Surface adhesion and demolding force dependence on resist composition in ultraviolet nanoimprint lithography. Appl. Surf. Sci. 2011, 258, 1272–1278. [Google Scholar] [CrossRef]
  121. Yang, C.; Li, M.; Wang, J.; Ye, H.; Qiu, J. Residual layer assisted demolding in roll-to-roll UV imprint lithography. Microelectron. Eng. 2019, 217, 111110. [Google Scholar] [CrossRef]
  122. Enns, J.B.; Gillham, J.K. Time–temperature–transformation (TTT) cure diagram: Modeling the cure behavior of thermosets. J. Appl. Polym. Sci. 1983, 28, 2567–2591. [Google Scholar] [CrossRef]
  123. Lu, H.; Stansbury, J.W.; Bowman, C.N. Impact of Curing Protocol on Conversion and Shrinkage Stress. J. Dent. Res. 2005, 84, 822–826. [Google Scholar] [CrossRef]
  124. Geiser, V.; Jin, Y.-H.; Leterrier, Y.; Månson, J.-A.E. Nanoimprint Lithography with UV-Curable Hyperbranched Polymer Nanocomposites. Macromol. Symp. 2010, 296, 144–153. [Google Scholar] [CrossRef]
  125. Vogler, M.; Wiedenberg, S.; Mühlberger, M.; Bergmair, I.; Glinsner, T.; Schmidt, H.; Kley, E.-B.; Grützner, G. Development of a novel, low-viscosity UV-curable polymer system for UV-nanoimprint lithography. Microelectron. Eng. 2007, 84, 984–988. [Google Scholar] [CrossRef]
  126. Zhang, M.; Jiang, S.; Gao, Y.; Nie, J.; Sun, F. Design of a disulfide bond-containing photoresist with extremely low volume shrinkage and excellent degradation ability for UV-nanoimprinting lithography. Chem. Eng. J. 2020, 390, 124625. [Google Scholar] [CrossRef]
  127. Lange, J.; Toll, S.; Månson, J.-A.E.; Hult, A. Residual stress build-up in thermoset films cured below their ultimate glass transition temperature. Polymers 1997, 38, 809–815. [Google Scholar] [CrossRef]
  128. Chan, E.P.; Crosby, A.J. Quantifying release in step-and-flash imprint lithography. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2006, 24, 2716. [Google Scholar] [CrossRef]
  129. Pollock, H.M.; Maugis, D.; Barquins, M. The force of adhesion between solid surfaces in contact. Appl. Phys. Lett. 1978, 33, 798–799. [Google Scholar] [CrossRef]
  130. Jung, G.-Y.; Li, Z.; Wu, W.; Ganapathiappan, S.; Li, X.; Olynick, D.L.; Wang, S.Y.; Tong, W.M.; Williams, R.S. Improved Pattern Transfer in Nanoimprint Lithography at 30 nm Half-Pitch by Substrate−Surface Functionalization. Langmuir 2005, 21, 6127–6130. [Google Scholar] [CrossRef]
  131. Al-Japairai, K.A.S.; Mahmood, S.; Almurisi, S.H.; Venugopal, J.R.; Hilles, A.R.; Azmana, M.; Raman, S. Current trends in polymer microneedle for transdermal drug delivery. Int. J. Pharm. 2020, 587, 119673. [Google Scholar] [CrossRef]
  132. Waghule, T.; Singhvi, G.; Dubey, S.K.; Pandey, M.M.; Gupta, G.; Singh, M.; Dua, K. Microneedles: A smart approach and increasing potential for transdermal drug delivery system. Biomed. Pharmacother. 2019, 109, 1249–1258. [Google Scholar] [CrossRef] [PubMed]
  133. Li, M.; Chen, Y.; Luo, W.; Cheng, X. Demolding force dependence on mold surface modifications in UV nanoim-print lithography. Microelectron. Eng. 2021, 236, 111470. [Google Scholar] [CrossRef]
  134. Kobrin, B.; Zhang, T.; Grimes, M.T.; Chong, K.; Wanebo, M.; Chinn, J.; Nowak, R. An Improved Chemical Resistance and Mechanical Durability of Hydrophobic FDTS Coatings. J. Phys. Conf. Ser. 2006, 34, 454–457. [Google Scholar] [CrossRef] [Green Version]
Figure 1. Schematic overview of thermal nanoimprint lithography (NIL) (left) and UV-NIL (right) processes. The separation between the mold and the micro/nanostructured polymer film in the last step is termed demolding.
Figure 1. Schematic overview of thermal nanoimprint lithography (NIL) (left) and UV-NIL (right) processes. The separation between the mold and the micro/nanostructured polymer film in the last step is termed demolding.
Micromachines 12 00349 g001
Figure 2. Difference in demolding forces (i.e., maximum force required for separating the mold and the resist after imprinting) between UV-NIL atmospheres of air, pentafluoropropane (PFP), and helium. Reprinted with permission from [34]. Copyright 2015 Elsevier.
Figure 2. Difference in demolding forces (i.e., maximum force required for separating the mold and the resist after imprinting) between UV-NIL atmospheres of air, pentafluoropropane (PFP), and helium. Reprinted with permission from [34]. Copyright 2015 Elsevier.
Micromachines 12 00349 g002
Figure 3. Finite element simulations showing von Mises stress distribution at the moment of demolding. The Young’s modulus values of the polymethylmethacrylate (PMMA) resists are (a) 0.5 and (b) 5 MPa. The magnitude of the applied detachment force is the same for both resists. Reprinted with permission from [53]. Copyright 2014 American Vacuum Society.
Figure 3. Finite element simulations showing von Mises stress distribution at the moment of demolding. The Young’s modulus values of the polymethylmethacrylate (PMMA) resists are (a) 0.5 and (b) 5 MPa. The magnitude of the applied detachment force is the same for both resists. Reprinted with permission from [53]. Copyright 2014 American Vacuum Society.
Micromachines 12 00349 g003
Figure 4. Residual stress σyy distribution for various residual thicknesses after 0.5% shrinkage, with the resist fully adhering to the template, where the residual thicknesses are (a) 10, (b) 25, (c) 50, (d)100, and (e) 200 nm. Reprinted with permission from [54]. Copyright 2014 American Vacuum Society.
Figure 4. Residual stress σyy distribution for various residual thicknesses after 0.5% shrinkage, with the resist fully adhering to the template, where the residual thicknesses are (a) 10, (b) 25, (c) 50, (d)100, and (e) 200 nm. Reprinted with permission from [54]. Copyright 2014 American Vacuum Society.
Micromachines 12 00349 g004
Figure 5. Schematics of demolding process for various release processes: (a) lift-off; (b) peeling; (c) roll-to-roll. Reprinted with permission from [55]. Copyright 2013 American Vacuum Society.
Figure 5. Schematics of demolding process for various release processes: (a) lift-off; (b) peeling; (c) roll-to-roll. Reprinted with permission from [55]. Copyright 2013 American Vacuum Society.
Micromachines 12 00349 g005
Figure 6. Schematic process showing 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) monolayer ideally self-assembling on the oxidized silicon surface. By converting the mold surface silanols into inert and hydrophobic –CF3 groups with FDTS, adhesion and friction forces can be significantly reduced through bonding site elimination and surface lubrication.
Figure 6. Schematic process showing 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) monolayer ideally self-assembling on the oxidized silicon surface. By converting the mold surface silanols into inert and hydrophobic –CF3 groups with FDTS, adhesion and friction forces can be significantly reduced through bonding site elimination and surface lubrication.
Micromachines 12 00349 g006
Figure 7. Thermal degradation of the FDTS monolayer displayed by the change in fluorine coverage (i.e., F 1s/Si 2p peak areas normalized by sensitivity factors) as a function of annealing time and temperature. The glove box is abbreviated as GB. Reprinted with permission from [11]. Copyright 2020 American Vacuum Society.
Figure 7. Thermal degradation of the FDTS monolayer displayed by the change in fluorine coverage (i.e., F 1s/Si 2p peak areas normalized by sensitivity factors) as a function of annealing time and temperature. The glove box is abbreviated as GB. Reprinted with permission from [11]. Copyright 2020 American Vacuum Society.
Micromachines 12 00349 g007
Figure 8. Schematic of chain entanglement between silane molecule and polymer resist.
Figure 8. Schematic of chain entanglement between silane molecule and polymer resist.
Micromachines 12 00349 g008
Figure 9. (a) Structure of the vinyl ether (VE) monomers; (b) segregation of the surfactant molecules to the polymer resist–mold interface. Reprinted with permission from [100]. Copyright 2007 American Chemical Society.
Figure 9. (a) Structure of the vinyl ether (VE) monomers; (b) segregation of the surfactant molecules to the polymer resist–mold interface. Reprinted with permission from [100]. Copyright 2007 American Chemical Society.
Micromachines 12 00349 g009
Figure 10. Polymer pattern defects after NIL. (a) Polymer pattern missing due to polymer sticking on mold; (b) spiked edges in polymer pattern due to friction forces.
Figure 10. Polymer pattern defects after NIL. (a) Polymer pattern missing due to polymer sticking on mold; (b) spiked edges in polymer pattern due to friction forces.
Micromachines 12 00349 g010
Figure 11. (a) Demolding force dependence on resist’s Young’s modulus and crosslinking agent content for polypropyleneglycol diacrylate (PP) and tripropyleneglycol diacrylate (TP). The coding digits show the amount of the base (TP or PP) in weight percent, e.g., PP98 represents 98 wt% base PP, 2 wt% photoinitiator (kept constant), and 0 wt% crosslinking agent. Reprinted with permission from [120]. Copyright 2011 Elsevier. (b) Demolding force as a function of volume-expanding monomer 3, 9-Diethyl-3, 9-bis (allyloxymethyl)-1, 5, 7, 11-tetraoxastetraoxaspiro undecane (DB-TOSU) content. Reprinted with permission from [110]. Copyright 2019 Elsevier.
Figure 11. (a) Demolding force dependence on resist’s Young’s modulus and crosslinking agent content for polypropyleneglycol diacrylate (PP) and tripropyleneglycol diacrylate (TP). The coding digits show the amount of the base (TP or PP) in weight percent, e.g., PP98 represents 98 wt% base PP, 2 wt% photoinitiator (kept constant), and 0 wt% crosslinking agent. Reprinted with permission from [120]. Copyright 2011 Elsevier. (b) Demolding force as a function of volume-expanding monomer 3, 9-Diethyl-3, 9-bis (allyloxymethyl)-1, 5, 7, 11-tetraoxastetraoxaspiro undecane (DB-TOSU) content. Reprinted with permission from [110]. Copyright 2019 Elsevier.
Micromachines 12 00349 g011
Figure 12. (a) Correlation between polymerization volume shrinkage and measured demolding force of the UV-curable resist when exposed to 365-nm UV radiation at various intensities for 15 s. (b) The correlation between the demolding force and the resultant defect rate for the resists cured under different UV exposures. Reprinted with permission from [10]. Copyright 2020 IOP Publishing, Ltd.
Figure 12. (a) Correlation between polymerization volume shrinkage and measured demolding force of the UV-curable resist when exposed to 365-nm UV radiation at various intensities for 15 s. (b) The correlation between the demolding force and the resultant defect rate for the resists cured under different UV exposures. Reprinted with permission from [10]. Copyright 2020 IOP Publishing, Ltd.
Micromachines 12 00349 g012
Figure 13. (a) A schematic of the adhesion and friction surfaces and pattern geometries; (b) a schematic of data acquisition and interpretation to extract adhesion and friction forces in NIL. Reprinted with permission from [133]. Copyright 2021 Elsevier.
Figure 13. (a) A schematic of the adhesion and friction surfaces and pattern geometries; (b) a schematic of data acquisition and interpretation to extract adhesion and friction forces in NIL. Reprinted with permission from [133]. Copyright 2021 Elsevier.
Micromachines 12 00349 g013
Figure 14. (a) Demolding force versus structural height of a pillar array mold with various surface modifications. (b) The fitted slope and intercept were used to calculate adhesion and friction force per unit area. Reprinted with permission from [133]. Copyright 2021 Elsevier.
Figure 14. (a) Demolding force versus structural height of a pillar array mold with various surface modifications. (b) The fitted slope and intercept were used to calculate adhesion and friction force per unit area. Reprinted with permission from [133]. Copyright 2021 Elsevier.
Micromachines 12 00349 g014
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Li, M.; Chen, Y.; Luo, W.; Cheng, X. Interfacial Interactions during Demolding in Nanoimprint Lithography. Micromachines 2021, 12, 349. https://doi.org/10.3390/mi12040349

AMA Style

Li M, Chen Y, Luo W, Cheng X. Interfacial Interactions during Demolding in Nanoimprint Lithography. Micromachines. 2021; 12(4):349. https://doi.org/10.3390/mi12040349

Chicago/Turabian Style

Li, Mingjie, Yulong Chen, Wenxin Luo, and Xing Cheng. 2021. "Interfacial Interactions during Demolding in Nanoimprint Lithography" Micromachines 12, no. 4: 349. https://doi.org/10.3390/mi12040349

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop