Next Article in Journal
Effect of Acetylated SEBS/PP for Potential HVDC Cable Insulation
Next Article in Special Issue
One-Step Etching Characteristics of ITO/Ag/ITO Multilayered Electrode in High-Density and High-Electron-Temperature Plasma
Previous Article in Journal
Comparison of Different Cermet Coatings Sprayed on Magnesium Alloy by HVOF
Previous Article in Special Issue
On Relationships between Gas-Phase Chemistry and Reactive Ion Etching Kinetics for Silicon-Based Thin Films (SiC, SiO2 and SixNy) in Multi-Component Fluorocarbon Gas Mixtures
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Dry Etching Performance and Gas-Phase Parameters of C6F12O + Ar Plasma in Comparison with CF4 + Ar

1
Department of Control and Instrumentation Engineering, Korea University, Sejong 30019, Korea
2
Department of Electronic Devices & Materials Technology, State University of Chemistry & Technology, 7 Sheremetevsky av., 153000 Ivanovo, Russia
*
Author to whom correspondence should be addressed.
Materials 2021, 14(7), 1595; https://doi.org/10.3390/ma14071595
Submission received: 19 February 2021 / Revised: 13 March 2021 / Accepted: 22 March 2021 / Published: 24 March 2021
(This article belongs to the Special Issue Etching Kinetics and Mechanisms of Thin Films)

Abstract

:
This research work deals with the comparative study of C6F12O + Ar and CF4 + Ar gas chemistries in respect to Si and SiO2 reactive-ion etching processes in a low power regime. Despite uncertain applicability of C6F12O as the fluorine-containing etchant gas, it is interesting because of the liquid (at room temperature) nature and weaker environmental impact (lower global warming potential). The combination of several experimental techniques (double Langmuir probe, optical emission spectroscopy, X-ray photoelectron spectroscopy) allowed one (a) to compare performances of given gas systems in respect to the reactive-ion etching of Si and SiO2; and (b) to associate the features of corresponding etching kinetics with those for gas-phase plasma parameters. It was found that both gas systems exhibit (a) similar changes in ion energy flux and F atom flux with variations on input RF power and gas pressure; (b) quite close polymerization abilities; and (c) identical behaviors of Si and SiO2 etching rates, as determined by the neutral-flux-limited regime of ion-assisted chemical reaction. Principal features of C6F12O + Ar plasma are only lower absolute etching rates (mainly due to the lower density and flux of F atoms) as well as some limitations in SiO2/Si etching selectivity.

1. Introduction

Recently, silicon-based electronics still play the leading role in the worldwide production of integrated electronic circuits. The main materials composing such devices are the silicon itself as well as the silicon dioxide that mostly appears as a rather thin functional layer on the Si substrate [1,2,3]. The latter found numerous applications as gate insulator in various field-effect devices, spacer dielectric, passivating coating, and hard masks featured by the high stability in respect to aggressive etchant environments [1,3,4,5]. Obviously, as most of the devices have complicated multi-layer structures, the corresponding fabrication process needs the precision patterning of both Si and SiO2 materials. Recently, strong requirements to devise both dimension and performance are satisfied by the “dry” etching techniques, and namely by the reactive-ion etching (RIE) method [4,5]. The main feature of RIE is the simultaneous action of two parallel etching mechanisms, such as physical sputtering and ion-assisted chemical reaction [5,6]. This provides the effective adjustment of output process characteristics (etching rate, etching profile, selectivity in respect to mask and/or under-layer material, etching residues, surface roughness, etc.) by an appropriate choice of working gas and processing conditions [2,3,4,5].
The widely-used gases for reactive-ion etching of all silicon-based materials are fluorocarbon compounds with a general formula of CxHyFz mixed with Ar and/or O2 [4,5,6]. Accordingly, there were many experimental studies (for example, Refs. [7,8,9,10,11,12,13,14,15,16,17] and earlier ones included in monographs [1,2,3,4]) reported on RIE kinetics and mechanisms for Si and SiO2 in various fluorocarbon gas plasmas, including CF4-based gas mixtures. The most important findings may be summarized as follows:
(1)
The dominant role in the chemical etching pathway for Si and SiO2 under typical RIE conditions ( p < 50 mTorr, ion bombardment energy ~200–400 eV) belongs to F atoms [5]. Fluorocarbon gases with z / x < 3 (where z and x are coefficients in the CxHyFz formula) exhibit high polymerization ability that results in the deposition of fluorocarbon polymer film on the treated surface. This lowers absolute etching rates but results in the highly-anisotropic etching of silicon (due to the passivation of side walls by the fluorocarbon polymer layer) as well as in advanced SiO2/Si etching selectivity (due to different thicknesses of polymer films on oxygen-free and oxygen-containing surfaces) [8,9,11].
(2)
Both etching and polymerization kinetics may be effectively adjusted by mixing of fluorocarbon gas with Ar and/or O2 [10,13,14,15,16]. Corresponding mechanisms do work through changes in both gas-phase chemistry (formation/decay balance for F atoms and polymerizing radicals) [5,17] and heterogeneous processes kinetics (physical and chemical decomposition of the fluorocarbon polymer film) [14,15,16,17].
(3)
The chemical interaction of F atoms with Si and SiO2 exhibits different mechanisms and thus, may be controlled by different limiting stages. In the case of Si, spontaneous chemical reaction mostly produces the high volatile SiF4 [4,5]. That is why the Si + F reaction rate in non- or low-polymerizing plasmas is characterized by low sensitivity to the intensity of ion bombardment as well as exhibits the nearly exponential dependence on surface temperature [2,3]. Oppositely, the SiO2 + F reaction has the sufficient threshold energy (as the Si-O bond of ~799 kJ/mol is stronger than the Si-F of ~552 kJ/mol [18]) and occurs only as the ion-assisted process. The role of ion bombardment includes the production of adsorption sites for F atoms and the sputtering of low volatile non-saturated SiFx compounds [5,7]. At the same time, ion energies above ~200 eV are generally enough to provide the reaction-rate-limited etching regime controlled by the F atom flux [7,19].
The serious problem of all fluorocarbon gases used for Si and SiO2 RIE processes is their destructive effect on the ozone layer and thus, high global warming potentials (GWP). For example, the GWP index for CF4 is over 5000 [20,21] which means its global warming impact is much higher compared with CO2. The increasing attention to the environmental pollution issue has motivated intensive studies of eco-friendly dry etching processes [22,23]. Particularly, it was suggested to substitute conventional process chemistries based on high-GWP fluorocarbon gases for alternate ones with lower environmental impacts [24,25]. One of the possible candidates here is the dodecafluorooxepane (C6F12O) which exhibits low GWP index of 1, has the extremely low toxicity, as well as is featured by the boiling point above the room temperature [26]. Obviously, the last property provides the much easier trapping of remaining gas from the output gas flow compared with conventional gaseous fluorocarbons as well as promotes its recovery procedure. In our previous work [27], we investigated C6F12O and CHF3 as additive components in the CF4 + O2 gas mixture in respect to the SiON etching process. In these experiments, the CF4 was subsequently substituted for one of the above gases, and the SiON etching characteristics were compared. According to this work, most important differences between CHF3 and C6F12O gases are that the latter (a) provides lower absolute etching rate together with higher etching selectivity over Si; (b) exhibits a bit lower increase in the polymerizing ability; and (c) produces more vertical sidewalls. At the same time, it is clear that the above study has some limitations in respect to both completeness and usability of corresponding data. First, the main focus of Ref. [27] was to compare C6F12O with CHF3, but not with CF4. At the same time, the latter is also the widely-used high-GWP gas which is waiting for an adequate low-GWP replacement. Even if this work illustrates some correlations between etching performances of C6F12O and CF4, these always correspond to O2-containing gas mixtures and cover only one combination of gas pressure and input power. Since the presence of oxygen sufficiently influences plasma parameters and densities of active species in fluorocarbon gas plasmas [5,15,17], these data say nothing about key properties of pure C6F12O and CF4 gases. Obviously, such situation does not help to understand features of corresponding etching processes in oxygen-free gas systems. Second, Ref. [27] mainly discussed the etching characteristics for SiON, but not for the widely used Si and SiO2. At the same time, the SiO2/Si etching selectivity is the quite important parameter for many etching processes [1,2,3]. Thirdly, Ref. [27] has a rather phenomenological nature and thus, did not discuss differences between two gas systems in the light of gas-phase plasma parameters and plasma chemistry. Such a situation in some extent lowers the significance of corresponding results, since those seem to be valid only for a given combination of processing gases and treated materials. Therefore, unknown relationships between processing conditions, gas-phase plasma parameters, and RIE kinetics do not allow evaluating real perspectives of C6F12O as an etchant gas for silicon-based materials. Obviously, this retards the development of environmentally-friendly dry etching technologies.
The main idea of this work was to compare reactive-ion etching performances for low-GWP C6F12O + Ar and high-GWP CF4 + Ar plasmas in respect to Si and SiO2 with a focus on effects of gas pressure and input power. When making a decision on gas mixtures, we wanted to test the C6F12O as the individual chemical etchant as well as to perform a comparison with the quite simple and the well-studied gas system, such as CF4. The absence of O2 in both feed gases provides a chance to attribute some specific etching effects (if those do exist) to the presence of oxygen in the C6F12O molecule. The choice of Ar as an additive component was because of its wide use in real etching processes in a combination with fluorocarbon gases [1,2]. The general aims are to stabilize plasma at low pressures, to minimize polymerization-related effects, as well as to reduce the amount of fluorocarbon compounds in the output gas flow [3,4,5]. Accordingly, the main goals were: (1) to analyze features of corresponding etching processes in terms of etching rate, etching selectivity, and residues; (2) to study interconnections between processing parameters and gas-phase plasma characteristics (electron temperature, energy of ion bombardment, densities and fluxes of plasma active species); and (3) to formulate conclusions on differences and/or similarities of etching mechanisms for Si and SiO2 in given gas systems. Another important issue is that we studied the low input power etching regime with ~10 times lower plasma density compared with the conventional RIE process. As was shown in Refs. [28,29], the latter provides weaker surface damage due to lower ion flux as well as exhibits more anisotropic etching because of reduced neutral/charged ratio.

2. Materials and Methods

2.1. Experimental Setup and Procedures

Both etching and plasma diagnostics experiments were performed in the planar inductively coupled plasma (ICP) reactor known from our previous studies [17,30]. Schematic diagram of reactor chamber with arrangements is shown in Figure 1. Plasma was excited using the 13.56 MHz power supply while another 13.56 MHz rf generator powered the bottom electrode in order to control the ion bombardment energy through the bias power ( W d c ). Initial compositions of CF4 + Ar and C6F12O + Ar gas mixtures were set by equal partial flow rates of component gases within the total flow rate of 40 sccm. As such, each gas mixture was always composed by 50% of argon and 50% of one of fluorocarbon components. Variable processing parameters were the input RF power ( W = 200–600 W that corresponded to the input power density of about 0.02–0.06 W/cm3) and the gas pressure ( p = 4–12 mTorr). In addition, the constant bias power W d c = 200 W produced the variable negative dc bias voltage ( U d c ), according to the change in positive ion flux. The parameter U d c was measured using the high-voltage probe (AMN-CTR, Youngsin-RF Co. Ltd, Seoul, Korea).
Plasma diagnostics by the double Langmuir probe (DLP2000, Plasmart Inc., Daejeon, Korea) provided the data on electron temperature ( T e ) and ion current density ( J + ). The treatment of measured I–V curves accounted for well-known concepts of Langmuir probe theory for low pressure plasmas [31]. In order to minimize experimental errors due to the deposition of fluorocarbon polymer on probe tips, the latter were cleaned in 50% Ar + 50% O2 plasma before and after each measurements. Our previous works have demonstrated the efficiency of such procedure to obtain adequate diagnostics results in polymerizing fluorocarbon-based plasmas [7,30,32,33,34].
Plasma diagnostics by optical emission spectroscopy (AvaSpec-3648, JinYoung Tech, Seoul, Korea) was applied to compare F atom densities in C6F12O + Ar and CF4 + Ar plasmas as well as to trace behaviors of both n F and n O with variations in processing conditions. For this purpose, we monitored emission intensities ( I ) for three atomic lines, such as Ar 750.4 nm, F 703.8 nm, and O 777.0 nm. These are widely used actinometrical lines which are characterized by (a) direct electron impact excitation mechanism; (b) low lifetimes of corresponding excited states that allows one to neglect their non-radiative relaxation; and (c) known actinometrical coefficients for couples of F 703.8 nm/Ar 750.4 nm ( C a c t F ) and O 777.0 nm/Ar 750.4 nm C a c t O [35]. Accordingly, one can write n X / n A r = ( I X / I A r ) C a c t X (where X = F or O) and then, use the n X / n A r ratio to find the fraction of target particle y X by assuming y A r = 0.5. The estimation of absolute densities was impossible due to unknown gas temperature.
Etching kinetics for Si and SiO2 was studied using fragments of Si (111) wafers without or with oxide layer. Both samples were simultaneously placed in the middle part of the bottom electrode. The latter had a built-in water-flow cooling system that allowed one to maintain its temperature at the nearly constant value of ~17 °C within the processing times τ ~5 min. The sample size of ~2 × 2 cm allowed one to neglect the loading effect as well as to provide the etching regime controlled by heterogeneous process kinetics. Preliminary experiments indicated no principal (i.e., exceeding the standard experimental error) differences in I–V curves measured with and without sample loading. Therefore, one can neglect the sensitivity of gas-phase plasma parameters to etching products as well as consider the gas phase to be the permanent source of active species. In order to determine etching rates, we developed a partial surface masking by the photoresist AZ1512 with a thickness of ~1.5 µm as well as measured etched depths Δ h for the processing time τ = 1 min using the surface profiler Alpha-Step 500 (Tencor, Milpitas, CA, USA). The quasi-linear shape of Δ h = f ( τ ) curves in both gas mixtures surely suggests the steady-state etching regime characterized by the time-independent etching rate R . As such, the latter may be simply calculated as R = Δ h / τ .
The chemical compositions of plasma-treated Si and SiO2 surfaces were examined using X-ray photoelectron spectroscopy (K-Alpha, Thermo VG, UK) with a monochromatic Al Kα source (1486.6 eV). The size of the X-ray beam was 200 µm, and the electron emission angle was 45 degrees. The acceleration voltage and emission current in the X-ray source were 12 kV and 3 mA, respectively. The base pressure in the XPS chamber was 2.9 × 10−9 mbar, and the operating pressure was maintained at 4.9 × 10−9 mbar. A Flood gun was used for charge compensation. In order to keep the real etched surface condition, we did not perform the Ar+ sputtering procedure before the analysis.

2.2. Approaches for the Analysis of Etching Kinetics

For the phenomenological analysis of Si and SiO2 etching kinetics, one can account for known features of the reactive-ion etching process in fluorocarbon-based plasmas [5,9,11,13,17,30,32,33,34,36,37]. These are as follows:
(1)
Under typical reactive-ion etching conditions ( p < 50 mTorr and U d c > 200 V that provide an excess of ion bombardment energy over sputtering thresholds [3,4,5,6] for target materials), the experimentally obtained etching rate R is the superposition of two parallel etching pathways, such as physical sputtering and ion-assisted chemical reactions. Accordingly, one can simply suggest R = R p h y s + R c h e m [5,36,37].
(2)
The rate of physical sputtering, R p h y s , may be found as Y S Γ + [5,36], where Y S ~ ε i [30,32,33,34] is the sputtering yield, ε i = | U f U d c | is the ion bombardment energy, U f 0.5 T e ln ( m i / 2 π m e ) is the floating potential, and Γ + J + / e is the flux of positive ions. As such, the relative change in R p h y s with variations of processing conditions may be traced by the parameter ε i Γ + characterizing the ion momentum flux [32,33,34].
(3)
The rate of ion-assisted chemical reaction, R c h e m , is represented by the multiplication of γ R Γ F [17,30,34], where γ R = s 0 ( 1 θ ) [17] is the effective reaction probability, s 0 is the sticking probability for etchant species on the free adsorption site, and θ is the fraction of adsorption sites occupied by reaction products, and Γ F is the thermal flux of F atoms with the gas-phase density of n F . In general case, the situation θ → 0 corresponds to the reaction-rate-limited process regime where γ R s 0 is only the exponential function of surface temperature. Oppositely, the condition θ → 1 points out on the ion-flux-limited process regime. Here, even if the nearly constant surface temperature provides s 0 ≈ const, the trend of R c h e m is controlled by the change in γ R through the fraction of free adsorption sites for F atoms ( 1 θ ) . In polymerizing plasmas, γ R may also be sensitive to fluorocarbon polymer thickness if the latter provides Γ F / Γ F << 1, where Γ F is the flux of F atoms on the polymer film/etched surface interface [5,9,13].

3. Results and Discussion

Figure 2a,b illustrate effects of input power and gas pressure on Si and SiO2 etching rates in 50% C6F12O + 50% Ar and 50% CF4 + 50% Ar plasmas. It can be seen that both Si and SiO2 are characterized by similar monotonic R = f ( W ) at p = const curves as well as exhibit higher etching rates at higher pressures. The main peculiarity here is only that the C6F12O + Ar gas system provides the systematically lower absolute etching rates (by ~1.5–1.6 times for p = 4 mTorr and ~1.8–2.0 times for p = 12 mTorr at 200–600 W). The similar difference was obtained in Ref. [27] for C6F12O + O2 and CF4 + O2 plasmas. The analysis of these data with accounting for known features of Si and SiO2 etching mechanisms in fluorocarbon gas plasmas ([5,7,8,9,10,11,12,13,14,15,16,17], see Section 1) allows one to conclude that:
(1)
Similar changes of etching rate for each material in C6F12O- and CF4-based plasmas vs. input power and gas pressure may be attributed to similar etching regimes. In general, this may be either the polymer-thickness-controlled etching process (through the transport of etchant species to the film/etched surface interface) or the chemical reaction itself under the condition of thin or even non-continuous polymer film. In our case, the second variant looks more favorable because of the low polymerizing ability of CF4 plasma [3,4,5,7,8,17] as well as the similar feature of the C6F12O + Ar gas system. As follows from Figure 3a–d, the latter exhibits the only small increase in the amount of carbon-containing compounds on both Si and SiO2 surfaces. In addition, one can see that both gas systems provide the lower amount of fluorocarbon polymer on SiO2 compared with that on Si. This well-known effect is due to the etching of polymer by O atoms on the film/SiO2 interface [5,6,7,8].
(2)
Similar changes of Si and SiO2 etching rates in each gas system indicate that corresponding chemical etching pathways are driven by identical active species and have one and the same limiting stage. In particular, an increase in both Si and SiO2 etching rates vs. gas pressure surely points out on the absence of ions-driven limiting stages in corresponding heterogeneous reaction schemes. In fact, this means that the SiO2 + F reaction kinetics is not limited by the ion-assisted destruction of oxide bonds SiOx(s.) → Si(s.) + xO to produce adsorption sites for F atoms. Probably, such situation is due to the quite high ion bombardment energy used in this study. As such, all experimental curves in Figure 2a,b reflect changes in the Si(s.) + xF → SiFx reaction kinetics while systematically lower etching rates in C6F12O + Ar plasma may preliminary be attributed to corresponding differences in gas-phase densities of F atoms.
In order to check the above suggestions on etching mechanisms as well as to analyze differences between two gas systems, the data on plasma parameters and densities of active species are needed. From Figure 4, it can be seen that C6F12O + Ar and CF4 + Ar plasmas exhibit similar behaviors for electrons- (electron temperature, electron density) and ions- (ion flux, ion bombardment energy) related gas-phase parameters. Most of the obtained effects are in agreement with those for many other fluorocarbon gas plasmas [17,30,32,33,34] and may briefly be commented as follows:
  • The electron temperature (Figure 4a) increases toward higher input powers at p = const and decreases toward higher pressures at W = const. The first phenomenon is probably due to a decrease in electron energy losses for vibrational and electronic excitations of dominant neutral particles. The evident reason is an increase in densities of less saturated radicals and atomic species due to the acceleration of electron-impact dissociation for multi-atomic components. The faster growth of T e in the C6F12O + Ar plasma as well as higher electron temperatures at W > 400 W may result from the multichannel fragmentation mechanism with including CxFy + O/O(1D) → Cx-nFy-mO + CnFm and CFx + O/O(1D) → CFx-1O + F reactions [32,33]. As such, one can easily imagine the situation when the given gas system provides higher densities of less saturated species compared with CF4 + Ar under identical processing conditions. The decreasing tendency for T e = f ( p ) is surely connected with an increase in the overall electron energy loss due to increasing electron-neutral collision frequency [5].
  • The ion current density (Figure 4b) in both gas systems mainly reflects the change of n + and thus, depends on the positive ion formation/decay balance. Particularly, an increase in W at p = const surely results in increasing total ionization rates and thus, causes the same response from the side of n + . It should be noted that a bit lower J + values in the C6F12O + Ar plasma at W > 400 W are in formal agreement with above suggestion on higher densities of less saturated species. At least, one can simply assume that the smaller particle is featured by the lower ionization rate coefficients because of the lower process cross-section and/or higher ionization threshold ε i z . An increase in gas pressure at W = const results in decreasing ionization rate coefficients (because of the same change in T e and mean electron energy that provides decreasing fraction of electrons with ε > ε i z ) as well as accelerates the loss of positive ions in bulk plasma (because of increasing plasma electronegativity and negative ion density). As such, the decreasing tendency for J + = f ( p ) is probably due to corresponding changes in both n + and ion Bohm velocity.
  • The negative dc bias at constant bias power (Figure 4c) always shows opposite trends compared with J + . The reason is that the positive ion flux partly compensates for the negative charge produced by bias source. In both gas systems, the growth of ion flux vs. input power overlaps the weaker decrease in U d c and provides the intensification of the physical etching pathway. The last conclusion directly follows from the change in ε i Γ + values shown in Figure 4d. Accordingly, the combination of decreasing ion flux and the nearly constant U d c with increasing gas pressure lowers the ion bombardment intensity and thus, suppresses the physical etching pathway. Similar effects have been reported for various gas systems [2,5,6].
When summarizing the above data, one can conclude that both gas mixtures have no principal differences in respect to the efficiency of electron-impact processes. Really, though the C6F12O + Ar plasma exhibits higher T e values in the range of W > 400 W, it is formally compensated by lower electron densities, as follows from corresponding dissimilarities in n + . This allows one to assume close dissociation frequencies ( k d i s n e , where k d i s is the dissociation rate coefficient) for one and the same species as well as to attribute peculiarities of corresponding gas phase compositions to various dissociation pathways for original C6F12O and CF4 molecules. As for the intensity of ion bombardment that determines rates of ions-driven heterogeneous processes, some evident differences do exist. As can be seen from Figure 4d, the gap between ε i Γ + values becomes noticeable at W > 400 W and reaches about two times at W = 600 W. Obviously, the last effect is mainly due to the lower ion flux in the C6F12O + Ar plasma, as can be understood from Figure 4b. The similar difference in ion fluxes was also mentioned in Ref. [27] for oxygen containing CF4 and C6F12O plasmas under the close range of processing conditions. Therefore, such stable feature may provide an advance in respect to the low-damage etching.
In order to compare contributions of R p h y s to measured etching rates, one can refer for direct experimental data on corresponding sputtering yields [38,39]. From these works, one can conclude that (a) in the range of ε i < 600 eV, sputtering yields for Si and SiO2 are close enough to be characterized by an average common value of Y S ; and (b) actual ion energy ranges of 360–460 eV (for C6F12O + Ar plasma) and 355–510 eV (for CF4 + Ar plasma) correspond to Y S ~0.35–0.44 atom/ion and 0.35–0.48 atom/ion, respectively. Accordingly, this allows one to calculate R p h y s Y S Γ + (see Section 2.2) as well as to find R c h e m = R R p h y s . Calculations showed that the rate of sputter etching always increases with increasing input power (for example, 11–31 mn/min for C6F12O + Ar plasma and 12–51 mn/min for CF4 + Ar plasma at p = 8 mTorr) and decreases toward higher gas pressures (for example, 25–21 nm/min for C6F12O + Ar plasma and 34–29 mn/min for CF4 + Ar plasma at W = 400 W). Though the C6F12O + Ar plasma provides lower R p h y s values (as was predicted by Figure 4d), it exhibits generally higher R p h y s / R ratios (Figure 5) due to lower total etching rates compared with those for CF4 + Ar. Another important finding is that both gas systems surely satisfy the rule of R c h e m >> R p h y s which means that the change in any measured etching rate is mainly controlled by R c h e m (Figure 2c,d). The contribution of R p h y s appears to be higher for SiO2 at lower pressures and higher input powers but does not exceed 30% in its maximum value. From the comparison of Figure 2c,d and Figure 4d, it can be seen also that the change of R c h e m vs. gas pressure contradicts with that for ion energy flux. This surely confirms that the dominant etching mechanism for Si and SiO2 in both gas systems is the ion-assisted chemical reaction which appears in either pure reaction-rate-limited ( γ R ≈ const) or transitional ( γ R ≠ const) regime. The last case assumes that the whole process rate is generally controlled by F atom flux while the effective probability for Si(s.) + xF → SiFx reaction may be sensitive to the ion momentum flux through ion-stimulated desorption of reaction products, oxide bond breaking, and/or fluorocarbon film thickness. That is why, the understanding of the real situation requires the analysis of correlations between R c h e m and the fluorine atom flux. As such, the data on F atom densities are strongly required.
Figure 6 represents results of plasma diagnostics by optical emission spectroscopy (OES). From Figure 6a,b, it can be seen that an increase in input power at p = const always causes the faster growth in emission intensities for F (703.8 nm) and O (777.0 nm) lines compared with that for the Ar (750.4 nm) line. Such situation provides an increase in both F/Ar and O/Ar intensity ratios and thus, under the condition of C a c t X ≈ const [35], directly corresponds to similar change in n F / n A r and n O / n A r (Figure 5c). Taking into account the nearly constant n A r vs. input power, one can surely speak about linear increase in F atom densities toward higher input powers in both gas systems. Such phenomenon is in good agreement with numerous published data obtained by both plasma diagnostics and modeling for CF4 + Ar plasma (see, for example, Refs. [40,41]). The similar conclusion follows from Figure 6d in respect to O atom density in the C6F12O + Ar plasma. As for the effect of gas pressure, one must remember that the range of 4–12 mTorr provides the nearly three-fold increase in Ar density in a feed gas. That is, even a bit lower-running n F / n A r curve at 12 mTorr for C6F12O + Ar plasma in Figure 6c also corresponds to an increase in n F toward higher pressures. Therefore, influence of gas pressure on F atom density is also quite similar for both gas systems. It is important to note that similar slopes for n F / n A r curves at p = 12 mTorr in C6F12O + Ar and CF4 + Ar plasmas generally correspond to similar changes in electron-impact kinetics, as was mentioned above. The faster growth in n F / n A r ratio in C6F12O + Ar plasma at p = 4 mTorr contradicts this rule but exhibits the correlation with faster changes in n O / n A r ratio and O atom density. Probably, this suggests that the formation kinetics for F atoms at lower pressures is contributed by reactions with a participation of oxygen, such as CFx + O/O(1D) → CFx-1O + F.
When making a comparison between data of Figure 2 and Figure 6, the basic features of ion-assisted chemical reaction in C6F12O + Ar and CF4 + Ar gas systems may be suggested as follows:
(1)
In both gas systems, the behavior of R c h e m qualitatively correlates with the corresponding trend of F atom density while lower Si and SiO2 etching rates in the C6F12O + Ar plasma generally correspond to lower n F values. This directly points to a similar limiting stage in a form of Si(s.) + xF → SiFx reaction as well as probably means the non-principal influence of fluorocarbon polymer film on the etching kinetics.
(2)
In both gas systems, the change in R c h e m appears to be quantitatively different than that for F atom density. In particular, the CF4 + Ar plasma at the low-pressure represents the special case when R c h e m for both Si and SiO2 increases faster compared with F atom density. Such situation corresponds to an increase in effective reaction probability that correlates with the behavior of ε i Γ + . This allows one to suggest that, under the given set of processing conditions, an increase in ion momentum flux accelerates chemical reaction. At the same time, the high-pressure CF4 + Ar plasma, as well as the C6F12O + Ar plasma at any pressure within 4–12 mTorr, demonstrate the slower increase in R c h e m compared with F atom density. Accordingly, one can speak about decreasing effective reaction probability that contradicts with changes in ion momentum fluxes. In order to explain this phenomenon, one can simply suggest an increase in the amount of deposited polymer (which can be a true if the flux of polymerizing radicals growths faster compared with ε i Γ + ) or heterogeneous reactions with a participation of oxygen atoms. The last mechanism may suppress γ R in C6F12O + Ar plasma through the formation of oxide bonds and blocking of adsorption sites for F atoms.
(3)
The situation γ R ≠ const obtained for all processing gases and conditions at constant surface temperature generally means the sensitivity of γ R to gas-phase plasma parameters, such as particle and/or energy fluxes. Here, though the main trend for R c h e m is determined by the F atom flux, the change in γ R affects the slope and/or the shape of corresponding curve and thus, provides the ability for additional process control. As such, the understanding of factors influencing γ R is the key point for understanding the etching mechanism itself.
Additional information on heterogeneous reaction pathways may principally be derived from XPS data for F(1s) shown in Figure 3e,f. First, the difference in intensities for F(1s)-C peaks in Figure 3e,f is in agreement with those for C(1s)-F from Figure 3a,b. This fact confirms a bit higher polymerizing ability of C6F12O + Ar plasma as well as supports the earlier-made conclusion on a non-principal dissimilarity of C6F12O + Ar and CF4 + Ar gas system in respect to this parameter. It can be concluded also that the polymer film deposited in C6F12O + Ar plasma is featured by the different chemical structure. At least, the peak at ~690.6 eV in Figure 3e may be attributed to F atoms in the polymer chain with multi-carbon species [41,42]. Secondly, the difference in intensities for F(1s)-Si peaks indicate the higher amount of residual SiFx compounds after the treatment in C6F12O + Ar plasma. In the light of lower etching rates, such situation may take place only if the given gas system provides the worse removal of etching products. Obviously, the last feature cannot be directly associated with lower ε i Γ + values (Figure 4d), since the chemical reaction between Si and F atoms leads to the formation of high-volatile SiF4 [4,5]. In our opinion, the oxygen containing plasma may result in the formation of lower volatile SiOFx compounds that can be gasified only by the ion-stimulated desorption. At least, the similar phenomenon has been mentioned for the etching of silicon in Cl2- and HBr-based plasmas in the presence of oxygen [43,44]. Quite close intensities for F(1s)-O peaks do not contradict with the above suggestion if to assume that oxygen is connected by a double bond only with the Si atom.
One more issue which seems to be worth the brief discussion is the SiO2/Si etching selectivity. From Figure 7, it can be seen that the SiO2/Si etching selectivity in C6F12O + Ar plasma shows the weak growth with increasing input power as well as exhibits the low sensitivity to gas pressure. Both gas systems demonstrate the quite similar situation at the low pressure end ( R S i O 2 / R S i ~1.16 for the CF4-based plasma and ~1.21 for the C6F12O-based plasma at 4 mTorr and 500 W), but exhibits the noticeable difference for higher pressures and input powers. In particular, the maximum R S i O 2 / R S i value in the CF4-based plasma at 12 mTorr reaches 1.3 while the C6F12O-based plasma produces only ~1.2. The simplest explanation of this fact may be connected with differences in polymer film thicknesses. Probably, the combination of high pressure and input power creates favorable conditions for increasing flux of polymerizing radicals and polymer deposition rates. At the same time, the C6F12O-based plasma is also characterized by increasing O atom flux that accelerates the chemical etching rate of a polymer film. As such, the latter appears to be thinner and has the much lower impact on Si and SiO2 etching kinetics. In our opinion, this suggestion does not contradict with Figure 2 which indicates the rather close amounts of deposited polymer for both gas systems. The reason is that conditions of Figure 3 relate to an intermediate case (8 mTorr and 400 W) where differences in h p o l are not sufficient yet. Accordingly, corresponding points in Figure 7 are also very close to each other. Therefore, the effect of own oxygen limits the ability of gas pressure and input power to adjust the SiO2/Si etching selectivity in C6F12O-based plasmas.
Finally, we would like to note that the above analysis is only a primary step that just provides reasonable explanations for etching phenomenology. Obviously, more accurate conclusions in respect to etching mechanisms assume, at least, the more detailed study of the C6F12O + Ar gas system with obtaining quantitative data on densities of F atoms, O atoms, and polymerizing radicals. Since corresponding values for CF4 + Ar plasma are well-known from published works (or may be easily obtained by plasma modeling with the well-adjusted kinetic scheme [17,32,33,34,40]), one will be able to compare real particle fluxes as well as to discuss contributions of various physical or chemical processes to the change of effective reaction probabilities. At the same time, the simplified approach used in this study evidently illustrates the principal features which may be important when making a choice between two gas systems for the purpose of given etching process. Particularly, the principal finding in this study is that the C6F12O gas with low global warming potential may be the almost equivalent (with some limitations in respect to etching selectivity) replacement for CF4 in the reactive-ion etching of Si and SiO2. At the same time, lower absolute etching rates in the C6F12O-based plasma provide the condition for better process control. In the light of the very poor knowledge on the C6F12O + Ar plasma chemistry, such information may be a real value for future progress in dry etching technology.

4. Conclusions

This work reports on the comparative study of C6F12O + Ar and CF4 + Ar gas chemistries in respect to Si and SiO2 reactive-ion etching processes under the condition of low input power mode. Si and SiO2 etching rates in both gas systems were measured as functions of input power (200–600 W that corresponds to ~0.02–0.06 W/cm3) and gas pressure (4–12 mTorr). Plasma diagnostics by double Langmuir probe and optical emission spectroscopy indicated principal similarities of C6F12O + Ar and CF4 + Ar plasmas in respect to the influence of processing conditions on physical plasma parameters (electron temperature, ion current density, plasma density, and ion momentum flux), electron-impact kinetics, and F atom density. In addition, the X-ray photoelectron spectroscopy showed no principal differences in corresponding polymerization abilities. The analysis of these data allowed one to compare performances of given gas systems in respect to the reactive-ion etching of Si and SiO2 as well as to associate features of corresponding etching kinetics with those for gas-phase plasma characteristics. It was shown that etching processes of both materials in both gas systems (a) have no ions-driven limiting stages; (b) are mainly provided by the ion-assisted chemical reaction controlled by the F atom flux; and (c) are characterized by the processing-condition-dependent (in other words—effective) reaction probability. Such situation assumes no principal differences in etching regimes. The final conclusion was that the C6F12O gas with low global warming potential may be the almost equivalent replacement for CF4 in the reactive-ion etching of Si and SiO2. The features of C6F12O-based plasma are a bit lower SiO2/Si etching selectivity at higher pressures as well as lower absolute etching rates. The last fact provides the opportunity for better process control.

Author Contributions

Conceptualization, Investigation, Writing—original draft, N.L., Y.S.C.; Formal analysis, Validation, A.E.; Project administration, Supervision, Validation, Writing—review & editing K.-H.K. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Korea Institute of Energy Technology Evaluation and Planning (KETEP) and the Ministry of Trade, Industry & Energy (MOTIE) of the Republic of Korea (No. 20172010105910) (N. Lim, Y. S. Choi and K.-H. Kwon).

Data Availability Statement

Data sharing not available.

Conflicts of Interest

The authors no conflict of interest.

References

  1. Sze, S.M. VLSI Technology; McGraw-Hill: New York, NY, USA, 1988. [Google Scholar]
  2. Sugano, T. Applications of Plasma Processes to VLSI Technology; John Wiley & Sons Inc.: Hoboken, NJ, USA, 1990. [Google Scholar]
  3. Rooth, J.R. Industrial Plasma Engineering; IOP Publishing Ltd.: Philadelphia, PA, USA, 1995. [Google Scholar]
  4. Wolf, S.; Tauber, R.N. Silicon Processing for the VLSI Era; Lattice Press: New York, NY, USA, 2000; Volume 1. [Google Scholar]
  5. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing; John Wiley & Sons Inc.: Hoboken, NJ, USA, 2005. [Google Scholar]
  6. Coburn, J.W. Plasma Etching and Reactive Ion Etching; AVS: New York, NY, USA, 1982. [Google Scholar]
  7. Stoffels, W.W.; Stoffels, E.; Tachibana, K. Polymerization of fluorocarbons in reactive ion etching plasmas. J. Vac. Sci. Technol. A 1998, 16, 87–95. [Google Scholar] [CrossRef] [Green Version]
  8. Schaepkens, M.M.; Standaert, T.E.F.M.; Rueger, N.R.; Sebel, P.P.; Oehrlein, G.G.; Cook, J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism. J. Vac. Sci. Technol. A 1999, 17, 26–37. [Google Scholar] [CrossRef] [Green Version]
  9. Standaert, T.E.F.M.; Hedlund, C.; Joseph, E.A.; Oehrlein, G.S.; Dalton, T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A 2004, 22, 53. [Google Scholar] [CrossRef] [Green Version]
  10. Lee, H.K.; Chung, K.S.; Yu, J.S. Selective Etching of Thick Si3N4, SiO2 and Si by Using CF4/O2 and C2F6 Gases with or without O2 or Ar Addition. J. Korean Phys. Soc. 2009, 54, 1816–1823. [Google Scholar] [CrossRef]
  11. Kastenmeier, B.E.E.; Matsuo, P.J.; Oehrlein, G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A 1999, 17, 3179–3184. [Google Scholar] [CrossRef] [Green Version]
  12. Lele, C.; Liang, Z.; Linda, X.; Dongxia, L.; Hui, C.; Tod, P. Role of CF2 in the etching of SiO2, Si3N4 and Si in fluorocarbon plasma. J. Semicond. 2009, 30, 033005. [Google Scholar] [CrossRef]
  13. Matsui, M.; Tatsumi, T.; Sekine, M. Relationship of etch reaction and reactive species flux in C4F8/Ar/O2 plasma for SiO2 selective etching over Si and Si3N4. J. Vac. Sci. Technol. 2001, A19, 2089–2096. [Google Scholar] [CrossRef]
  14. Li, X.; Ling, L.; Hua, X.; Fukasawa, M.; Oehrlein, G.S.; Barela, M.; Anderson, H.M. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas. J. Vac. Sci. Technol. 2003, A21, 284–293. [Google Scholar] [CrossRef]
  15. Li, X.; Hua, X.; Ling, L.; Oehrlein, G.S.; Wang, Y.; Anderson, H.M. Characteristics of C4F8 plasmas with Ar, Ne, and He additives for SiO2 etching in an inductively coupled plasma (ICP) reactor. J. Vac. Sci. Technol. 2003, A21, 1955–1963. [Google Scholar] [CrossRef] [Green Version]
  16. Sankaran, A.; Kushner, M.J. Etching of porous and solid SiO2 in Ar/c-C4F8, O2/c-C4F8 and Ar/O2/c-C4F8 plasmas. J. Appl. Phys. 2005, 97, 023307. [Google Scholar] [CrossRef]
  17. Lee, J.; Efremov, A.; Yeom, G.Y.; Lim, N.; Kwon, K.H. Application of Si and SiO2 Etching Mechanisms in CF4/C4F8/Ar Inductively Coupled Plasmas for Nanoscale Patterns. J. Nanosci. Nanotechnol. 2015, 15, 8340–8347. [Google Scholar] [CrossRef] [PubMed]
  18. Lide, D.R. Handbook of Chemistry and Physics; CRC Press: New York, NY, USA, 1998. [Google Scholar]
  19. Van Roosmalen, A.J.; Baggerman, J.A.G.; Brader, S.J.H. Dry Etching for VLSI; Springer: Berlin/Heidelberg, Germany, 1991. [Google Scholar]
  20. Tran-Quinn, T.; Lakritz, M. Unsaturated Fluorocarbons in the Etching Process, Environmental Benefit, Technical Hurdles. In Proceedings of the 2008 IEEE/SEMI Advanced Semiconductor Manufacturing Conference, Cambridge, MA, USA, 5–7 May 2008; pp. 37–42. [Google Scholar] [CrossRef]
  21. Muhle, J.; Ganesan, A.L.; Miller, B.R.; Salameh, P.K.; Harth, C.M.; Greally, B.R.; Rigby, M.; Porter, L.W.; Steele, L.P.; Trudinger, C.M.; et al. Perfluorocarbons in the global atmosphere: Tetrafluoromethane, hexafluoroethane, and octafluoro-propane. Atmos. Chem. Phys. 2010, 10, 5145–5164. [Google Scholar] [CrossRef] [Green Version]
  22. Kiehlbauch, M.W.; Graves, D.B. Temperature resolved modeling of plasma abatement of perfluorinated compounds. J. Appl. Phys. 2001, 89, 2047–2057. [Google Scholar] [CrossRef]
  23. Bolaji, B.; Huan, Z. Ozone depletion and global warming: Case for the use of natural refrigerant—A review. Renew. Sustain. Energy Rev. 2013, 18, 49–54. [Google Scholar] [CrossRef]
  24. Krishnan, N.; Smati, R.; Raoux, S.; Dornfeld, D. Alternatives to reduce perfluorinated compound (PFC) emissions from semi-conductor dielectric etch processes: Meeting environmental commitments while minimizing costs. In Proceedings of the International Symposium on Electronics and the Environment (IEEE), Boston, MA, USA, 19–22 May 2003. [Google Scholar] [CrossRef]
  25. Mocella, M.T. PFC Emission Control Options for Plasma Processing Tools: A Current Assessment; Cambridge University Press: Cambridge, UK, 1996; Volume 447, pp. 29–34. [Google Scholar]
  26. Tian, S.; Zhang, X.; Wang, Y.; Rao, X.; Ye, F.; Li, Y.; Xiao, S. Partial discharge characteristics of C6F12O/CO2 mixed gas at power frequency AC voltage. AIP Adv. 2019, 9, 095057. [Google Scholar] [CrossRef] [Green Version]
  27. Lee, J.; Nam, Y.; Lee, J.; Lee, H.W.; Kwon, K.-H. Etching characteristics of thin SiON films using a liquefied perfluorocarbon precursor of C6F12O with a low global warming potential. Plasma Sci. Technol. 2020, 22, 105505. [Google Scholar] [CrossRef]
  28. Veselov, D.S.; Bakun, A.D.; Voronov, Y.A. Reactive ion etching of silicon using low-power plasma etcher. J. Phys. Conf. Ser. 2016, 748, 012017. [Google Scholar] [CrossRef] [Green Version]
  29. Ashraf, M.; Sundararajan, S.V.; Grenc, G. Low-power, low-pressure reactive-ion etching process for silicon etching with ver-tical and smooth walls for mechanobiology application. J. Micro Nanolith. MEMS MOEMS 2017, 16, 034501. [Google Scholar] [CrossRef] [Green Version]
  30. Lee, J.; Kwon, K.H.; Efremov, A. On the Relationships Between Plasma Chemistry, Etching Kinetics and Etching Residues in CF4 + C4F8 + Ar and CF4 + CH2F2 + Ar Plasmas with Various CF4/C4F8 and CF4/CH2F2 Mixing Ratios. Vacuum 2018, 148, 214–223. [Google Scholar] [CrossRef]
  31. Shun’ko, E.V. Langmuir Probe in Theory and Practice; Universal Publishers: Boca Raton, FL, USA, 2008. [Google Scholar]
  32. Efremov, A.; Lee, J.; Kim, J. On the Control of Plasma Parameters and Active Species Kinetics in CF4+ O2+ Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios. Plasma Chem. Plasma Process. 2017, 37, 1445–1462. [Google Scholar] [CrossRef]
  33. Chun, I.; Efremov, A.; Yeom, G.Y.; Kwon, K.H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Films 2015, 579, 136–143. [Google Scholar] [CrossRef]
  34. Lim, N.; Efremov, A.; Kwon, K.H. Gas-phase chemistry and etching mechanism of SiNx thin films in C4F8 + Ar inductively coupled plasma. Thin Solid Films 2019, 685, 97–107. [Google Scholar] [CrossRef]
  35. Lopaev, D.V.; Volynets, A.V.; Zyryanov, S.M.; Zotovich, A.I.; Rakhimov, A.T. Actinometry of O, N and F atoms. J. Phys. D Appl. Phys. 2017, 50, 075202. [Google Scholar] [CrossRef]
  36. Winters, H.F. Surface processes in plasma-assisted etching environments. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 1983, 1, 469. [Google Scholar] [CrossRef]
  37. Gray, D.C.; Tepermeister, I.; Sawin, H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma etching. J. Vac. Sci. Technol. 1993, B11, 1243–1257. [Google Scholar] [CrossRef]
  38. Zalm, P.C. Energy dependence of the sputtering yield of silicon bombarded with neon, argon, krypton, and xenon ions. J. Appl. Phys. 1983, 54, 2660. [Google Scholar] [CrossRef]
  39. Seah, M.P.; Nunney, T.S. Sputtering yields of compounds using argon ions. J. Phys. D Appl. Phys. 2010, 43, 253001. [Google Scholar] [CrossRef] [Green Version]
  40. Kimura, T.; Ohe, K. Model and probe measurements of inductively coupled CF4 discharges. J. Appl. Phys. 2002, 92, 1780–1787. [Google Scholar] [CrossRef]
  41. Sasaki, K.; Kawai, Y.; Kadota, K. Determination of fluorine atom density in reactive plasmas by vacuum ultraviolet absorption spectroscopy at 95.85 nm. Rev. Sci. Instrum. 1999, 70, 76–81. [Google Scholar] [CrossRef]
  42. NIST X-Ray Photoelectron Spectroscopy Database; Version 4.1; National Institute of Standards and Technology: Gaithersburg, MD, USA, 2012.
  43. Cunge, G.; Kogelschatz, M.; Joubert, O.; Sadeghi, N. Plasma–wall interactions during silicon etching processes in high-density HBr/Cl2/O2 plasmas. Plasma Sources Sci. Technol. 2005, 14, S42–S52. [Google Scholar] [CrossRef]
  44. Tinck, S.; Boullart, W.; Bogaerts, A. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: Effects of SiO2 chamber wall coating. Plasma Sources Sci. Technol. 2011, 11, 045012. [Google Scholar] [CrossRef]
Figure 1. Schematic diagram of the reactor chamber with surroundings.
Figure 1. Schematic diagram of the reactor chamber with surroundings.
Materials 14 01595 g001
Figure 2. Measured etching rates (a,b) and calculated rates of ion-assisted chemical reaction (c,d) for Si and SiO2 in 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas. Numerical labels on curves mean the gas pressure in mTorr.
Figure 2. Measured etching rates (a,b) and calculated rates of ion-assisted chemical reaction (c,d) for Si and SiO2 in 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas. Numerical labels on curves mean the gas pressure in mTorr.
Materials 14 01595 g002
Figure 3. C(1s) (ad) and F(1s) (e,f) XPS spectra for Si (a,b,e,f) and SiO2 (c,d) surfaces etched in 50% C6F12O + 50% Ar (a,c,e) and 50% CF4 + 50% Ar (b,d,f) plasmas. Processing condition are W = 400 W, p = 8 mTorr.
Figure 3. C(1s) (ad) and F(1s) (e,f) XPS spectra for Si (a,b,e,f) and SiO2 (c,d) surfaces etched in 50% C6F12O + 50% Ar (a,c,e) and 50% CF4 + 50% Ar (b,d,f) plasmas. Processing condition are W = 400 W, p = 8 mTorr.
Materials 14 01595 g003
Figure 4. Electron- and ion-related plasma parameters in 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas: (a) electron temperature; (b) ion current density; (c) negative dc bias at constant W d c = 200 W; and (d) parameter ε i Γ + characterizing the ion momentum flux. Numerical labels on curves mean the gas pressure in mTorr.
Figure 4. Electron- and ion-related plasma parameters in 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas: (a) electron temperature; (b) ion current density; (c) negative dc bias at constant W d c = 200 W; and (d) parameter ε i Γ + characterizing the ion momentum flux. Numerical labels on curves mean the gas pressure in mTorr.
Materials 14 01595 g004
Figure 5. Relative contributions of physical (sputter etching) and chemical (ion-assisted chemical reaction) etching pathways to Si (a,b,e,f) and SiO2 (c,d,g,h) etching rates at W = 200 W (black bars) and 600 W (grey bars).
Figure 5. Relative contributions of physical (sputter etching) and chemical (ion-assisted chemical reaction) etching pathways to Si (a,b,e,f) and SiO2 (c,d,g,h) etching rates at W = 200 W (black bars) and 600 W (grey bars).
Materials 14 01595 g005
Figure 6. Optical emission spectroscopy (OES) diagnostics of 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas: (a) measured emission intensity for Ar 750.4 nm line; (b) measured emission intensities for F 703.8 nm and O 777.0 nm lines; (c) evaluated n F / n A r density ratio; and (d) evaluated n O / n A r density ratio. Numerical labels on curves mean the gas pressure in mTorr while the «’» mark at the corresponding label points out on oxygen-related data).
Figure 6. Optical emission spectroscopy (OES) diagnostics of 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas: (a) measured emission intensity for Ar 750.4 nm line; (b) measured emission intensities for F 703.8 nm and O 777.0 nm lines; (c) evaluated n F / n A r density ratio; and (d) evaluated n O / n A r density ratio. Numerical labels on curves mean the gas pressure in mTorr while the «’» mark at the corresponding label points out on oxygen-related data).
Materials 14 01595 g006
Figure 7. SiO2/Si etching selectivity in 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas. Numerical labels on curves mean the gas pressure in mTorr.
Figure 7. SiO2/Si etching selectivity in 50% C6F12O + 50% Ar (solid lines) and 50% CF4 + 50% Ar (dashed lines) plasmas. Numerical labels on curves mean the gas pressure in mTorr.
Materials 14 01595 g007
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Lim, N.; Choi, Y.S.; Efremov, A.; Kwon, K.-H. Dry Etching Performance and Gas-Phase Parameters of C6F12O + Ar Plasma in Comparison with CF4 + Ar. Materials 2021, 14, 1595. https://doi.org/10.3390/ma14071595

AMA Style

Lim N, Choi YS, Efremov A, Kwon K-H. Dry Etching Performance and Gas-Phase Parameters of C6F12O + Ar Plasma in Comparison with CF4 + Ar. Materials. 2021; 14(7):1595. https://doi.org/10.3390/ma14071595

Chicago/Turabian Style

Lim, Nomin, Yeon Sik Choi, Alexander Efremov, and Kwang-Ho Kwon. 2021. "Dry Etching Performance and Gas-Phase Parameters of C6F12O + Ar Plasma in Comparison with CF4 + Ar" Materials 14, no. 7: 1595. https://doi.org/10.3390/ma14071595

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop