An Overview of Phase-Locked Loop: From Fundamentals to the Frontier
Abstract
1. Introduction
- Section 2 reviews the historical evolution of PLLs, emphasizing key innovations.
- Section 3 provides a system-level analysis, explaining their fundamental principles and advantages.
- Section 4 addresses major challenges in PLL design and evaluates current solutions.
- Section 5 surveys recent advancements in PLL architectures and their diverse applications.
- Section 6 concludes with reflections on the current state of PLL technology and predictions for its future trajectory.
2. A Brief History—A Journey Through Time
3. System View
3.1. Basic Framework
- Phase/Frequency Detector (PFD): This component acts as the “comparator”. It takes the input reference signal () and compares its phase and frequency with the feedback signal from the Voltage-Controlled Oscillator (VCO). The PFD then generates an error signal that is directly proportional to any difference between the two.
- Charge Pump (CP): The Charge Pump converts this error signal into a proportional current or voltage.
- Loop Filter (LF): This is typically a low-pass filter that takes the charge pump’s output. Its job is to smooth out the signal by suppressing high-frequency noise, producing a stable control voltage ().
- Voltage-Controlled Oscillator (VCO): The VCO is the heart of the PLL’s output. It generates the output signal (), and its frequency is directly controlled by the stable voltage from the Loop Filter. The relationship is often expressed as , where represents the VCO’s free-running frequency and is its gain.
- Frequency Divider (FD): In the feedback path, the Frequency Divider scales the VCO’s output frequency down to match the reference frequency. This allows for both integer-N and fractional-N configurations, providing flexibility in frequency generation.
- Free-Running State: When there is no reference signal, the VCO operates at its inherent free-running frequency (). The PLL remains unlocked, and its output is independent of any external input.
- Capture State: As soon as a reference signal is introduced, the PLL enters the Capture State. Here, the PFD generates an error signal that begins to nudge the VCO’s frequency toward the reference frequency. The Loop Filter is crucial during this phase, smoothing out the control voltage () and steadily reducing the frequency and phase differences until the VCO’s output gets close to the reference.
- Locked State: Once the phase error is sufficiently minimized (approaching zero, or ), the PLL achieves the Locked State. In this state, the output signal is fully synchronized in both phase and frequency with the reference. The PLL maintains this lock by continuously making subtle adjustments to the VCO, responding to even tiny variations in the reference signal to ensure robust stability.
3.2. System Model
- Understanding PLL Behavior–Linear Approximation and Noise:
- Kd represents the PFD (Phase/Frequency Detector) detection gain.
- FLFP(s) is the loop filter’s trans-impedance transfer function.
- KVCO is the VCO (Voltage-Controlled Oscillator) tuning gain in radians per volt (rad/V).
- N is the frequency divider ratio.
- : Reference noise;
- : Divider noise;
- : PFD noise;
- : Charge pump noise;
- : VCO noise.
3.3. Classification of PLL Architecture
- Analog PLLs (APLLs): These utilize continuous-time components, offering high precision and often lower noise in certain applications.
- Digital PLLs (DPLLs): Employing discrete-time processing, DPLLs excel in superior integration, scalability, and programmability, especially in advanced semiconductor processes.
- Integer-N PLLs: These divide the VCO frequency by an integer, offering straightforward design but with limited frequency resolution.
- Fractional-N PLLs: These use advanced techniques like sigma-delta modulation to achieve much finer frequency resolution, though they can introduce more complex noise characteristics.
- Charge-Pump PLLs (CP-PLLs): A very common type, known for their robust locking behavior and relatively simple implementation.
- All-Digital PLLs (ADPLLs): These push the boundaries of digital integration, aiming for complete digital control to maximize scalability and minimize analog component sensitivities.
- Sub-Sampling PLLs (SS-PLLs): Designed for low power consumption by directly sampling the reference clock, which can reduce noise contributions.
- Injection-Locked PLLs (ILPLLs): These leverage injection-locking mechanisms for superior low-jitter performance and rapid locking times, often used in high-speed communication.
- Delay-Locked Loops (DLLs): While similar in principle, DLLs typically synchronize phase and delay rather than frequency, commonly used for clocking applications in digital systems to reduce clock skew.
3.3.1. Analog Phase Locked Loops (APLLs)
- Precision and Performance
- Core Components and Operation
- Phase Detector (PD): Typically a Gilbert cell or double-balanced mixer, the PD compares the reference phase () with the VCO’s output phase (). It generates an error voltage proportional to their difference (). These detectors often have a gain () of 0.1–1 V/rad and can achieve 10–20 ps resolution.
- Loop Filter (LF): This component processes the error voltage from the PD. It is often a second-order passive RC network (e.g., 10 pF/1 k, with a 50–200 kHz bandwidth) or an active OTA-based filter designed for a 45–60-degree phase margin. The LF’s job is to suppress high-frequency noise, producing a stable control voltage ().
- Voltage-Controlled Oscillator (VCO): The VCO generates the PLL’s output signal. Its frequency () is controlled by , following the relationship , typically ranges from 50–200 MHz/V. Common VCO types include the following:
- –
- LC oscillators: These offer superior phase noise performance (e.g., −120 to −130 dBc/Hz at 1 MHz offset) and a 10–15% tuning range (1–10 GHz).Phase noise in LC oscillators, and oscillators in general, quantifies the spectral purity of the output signal. An ideal oscillator would produce a perfect single frequency, represented by an impulse in the frequency domain. However, real-world oscillators, including LC oscillators, are affected by various noise sources (e.g., thermal noise, flicker noise from active devices). These noise sources cause random fluctuations in the phase of the output signal, which manifest as “skirts” or sidebands around the main carrier frequency in the power spectrum. The unit of measurement for phase noise is typically dBc/Hz (decibels below the carrier per Hertz).
- ∗
- dBc (decibels below the carrier): This part of the unit indicates the power of the noise relative to the power of the main carrier signal, expressed in decibels. A lower dBc value signifies better phase noise performance (less noise relative to the signal). For example, −90 dBc means the noise power is 90 dB lower than the carrier power.
- ∗
- Hz (per Hertz): This part refers to the measurement bandwidth. Phase noise is measured within a specific 1 Hz bandwidth at a certain offset frequency from the carrier. This normalization to a 1 Hz bandwidth allows for a standardized comparison of phase noise performance across different devices and measurement setups.
- –
- Compact ring VCOs: While smaller (0.01–0.05 mm2) and more power-efficient (1–2 mW), they exhibit slightly higher phase noise (−100 to −110 dBc/Hz).
An APLL operates through dynamic states:- –
- Free-running: The VCO operates at its free-running frequency (, e.g., 1–6 GHz) before lock.
- –
- Capture: The PLL actively steers toward the reference frequency (within 10–100 MHz) based on the loop bandwidth and .
- –
- Phase-locked: The system achieves synchronization with sub-1-degree phase error and fast lock times of 10–50 microseconds.
- Stability, Noise, and Performance Analysis
- Advantages and Challenges
- PVT Sensitivity: Their performance can drift by 5–10% in due to component variations from aging, temperature (−40 to 125 °C), or manufacturing tolerances.
- Noise Susceptibility: Thermal and flicker noise in the Loop Filter and VCO can add 1–3 dB to in-band noise.
- Poor Scalability: In sub-28-nm CMOS processes, APLLs typically require larger areas (0.1–1 mm2) and consume more power (5–20 mW) compared to their digital counterparts (0.01–0.05 mm2, 0.5–2 mW).
- Complex Analog Design: Fine-tuning parameters like , , and Loop Filter characteristics requires significant expertise.
- PVT sensitivity can be reduced to less than 2% by integrating adaptive calibration circuits (e.g., varactor banks or automatic gain control).
- Noise susceptibility can be improved by 3–5 dB through kT/C noise cancellation or by 2–4 dB using high-Q LC tanks.
- Scalability can be enhanced by adopting hybrid PLL architectures that combine analog low-noise components with digital calibration for advanced process nodes.
- Design complexity can be alleviated with automated design tools that optimize loop parameters.
3.3.2. Digital Phase Locked Loops (DPLLs)
- Key Advantages of DPLL Architecture
- Higher immunity to noise: Digital signals are generally more resilient to electrical interference.
- Improved stability: DPLLs exhibit enhanced stability across temperature changes and over time (aging), unlike analog designs.
- Software reconfigurability: Their digital nature allows them to be easily reconfigured or tuned through software, providing immense flexibility.
- Trade-offs and Considerations
- Processing delay: While digital control enhances precision and stability, it can introduce a processing delay, potentially limiting the response speed compared to APLLs.
- Quantization noise: The reliance on digital computations can lead to quantization noise, necessitating sophisticated filtering techniques to maintain signal integrity.
- Design complexity and power consumption: The design of DPLLs often involves complex digital algorithms for phase detection and loop filtering, which require computational resources and can increase power consumption.
- Programmability and Integration
3.3.3. All Digital Phase Locked Loops (ADPLLs)—The Next Evolution
- A Digital Loop Filter (DLF): The DLF processes phase error signals using arithmetic operations. This digital implementation allows for highly precise and programmable filtering characteristics, greatly enhancing stability and noise immunity by eliminating variations introduced by analog components. Figure 8 illustrates a typical model of an ADPLL’s digital loop filter.
- A Time-to-Digital Converter (TDC): This component measures the time difference (and thus phase difference) between the reference and feedback signals, converting it into a digital value.
- A Digitally Controlled Oscillator (DCO): Unlike a VCO, the DCO’s frequency is directly controlled by digital codes from the DLF, generating the output signal entirely in the digital domain.
- Linear TDCs: These provide high-resolution phase measurements, offering superior precision in detecting minute phase differences. However, this precision often comes at the cost of increased complexity in their implementation. An example of a linear TDC is shown in Figure 9.
- Bang-Bang TDCs: In contrast, bang-bang TDCs employ a binary decision approach to adjust the oscillator. They essentially determine if the feedback signal is leading or lagging the reference, providing a “bang” (too fast) or “bang” (too slow) signal. This method offers a simpler and more power-efficient solution, making them suitable for many practical applications where extreme precision is not strictly necessary. Figure 10 illustrates a bang-bang TDC [24].
- Explicit Digital-to-Analog Converters (DACs) driving an analog VCO: In this approach, a DAC converts the digital control word into an analog voltage, which then tunes a conventional analog VCO.
- Embedded DAC approach: This method directly integrates the digital tuning mechanism within the oscillator itself. Here, digital codes directly control the oscillator’s parameters, eliminating the need for a separate, explicit DAC.
- The embedded DAC method often proves to be more advantageous for low-power applications, such as those found in mobile and wireless communication. It typically leads to lower power consumption and better integration with digital logic, making it an ideal choice for compact and energy-efficient designs.
- Reduced Area: By eliminating bulky analog components, ADPLLs occupy a smaller silicon area. This is crucial for highly integrated System-on-Chip (SoC) designs where space is at a premium.
- Enhanced Power Efficiency: A key benefit of ADPLLs is their immunity to leakage currents, which are common in analog circuits. This directly translates to more power-efficient operation, a critical factor for battery-powered devices and energy-conscious systems.
- Robustness against PVT Variations: ADPLLs are remarkably robust against Process, Voltage, and Temperature (PVT) variations. Unlike analog designs that can be sensitive to manufacturing tolerances, voltage fluctuations, or temperature changes, ADPLLs ensure consistent and reliable performance across diverse operating conditions.
- Simplified Design Process: The design flow for ADPLLs is streamlined. The digital loop filter (DLF) can be directly constructed by transforming an s-domain filter (used in analog control theory) into its z-domain equivalent (for digital systems). This simplification allows for easier and more efficient design.
- Higher-Order Filtering: The digital nature of the DLF also allows for the ready implementation of higher-order filtering. This provides greater flexibility in shaping the loop’s response, enabling better noise suppression and improved stability.
- Adaptive Coefficient Tuning: ADPLLs excel in their ability to perform adaptive coefficient tuning. This means their operational parameters can be preset at power-up for optimal initial performance. More importantly, they can undergo real-time adjustments during operation to dynamically achieve either fast locking (for quick synchronization) or ultra-low jitter (for high-precision timing), depending on the application’s immediate requirements.
- Challenges and Trade-offs of ADPLLs
- Quantization Noise: The inherent reliance on digital processing introduces quantization noise. This can degrade the overall phase noise performance if not carefully managed through sophisticated design techniques and filtering.
- TDC Resolution and Power Consumption: The accuracy of Time-to-Digital Converters (TDCs) directly impacts the overall precision of the ADPLL. Achieving very high resolution in TDCs often requires complex, high-performance circuits, which can, in turn, increase power consumption.
- Finite Frequency Resolution: Due to the discrete nature of digital control, ADPLLs have a finite frequency resolution. This can limit their ability to achieve the ultra-fine tuning capabilities that are sometimes possible with analog counterparts.
- Accumulated Digital Jitter: ADPLLs may experience increased jitter due to the accumulation of digital errors over time. Careful design, including robust clocking and error correction mechanisms, is necessary to mitigate this.
3.3.4. Integer-N PLL
- Design, Performance, and Limitations
- Key Components and Operational Challenges
- Performance Enhancements and Inherent Limitations
- Up/down skew reduction and up/down current mismatch reduction help improve charge pump linearity, which minimizes reference spurs and enhances phase noise performance.
- Using a sampling loop filter effectively suppresses charge pump noise, reducing the impact of reference phase noise on the system.
3.3.5. Fractional-N PLL—Achieving Finer Frequency Resolution
- Challenges and Advantages of Fractional-N PLLs
- Mitigating Spurs with Delta-Sigma Modulation
- Key Advantages
- Faster Frequency Settling Times: They can lock onto a new frequency much more quickly than integer-N PLLs.
- Increased Loop Bandwidth: A wider loop bandwidth allows for better tracking of reference variations and faster response.
- Superior Suppression of VCO Phase Noise: They are more effective at attenuating the intrinsic noise generated by the VCO.
- Reduced Amplification of Reference Phase Noise: They are less prone to amplifying noise originating from the reference clock.
- Design Complexity and Considerations
- The Evolving Landscape of Fractional-N PLLs
- Comparative Analysis: Fractional-N vs. Integer-N PLLs
3.3.6. Injected Locked PLLs (IL PLLs)—Enhancing Performance Through Synchronization
- Inside Injection-Locked PLLs: Components and Principles
- Core Components
- Voltage-Controlled Oscillator (VCO): This is the heart of the IL-PLL, acting as the primary signal generator. Its frequency can be dynamically adjusted to meet synchronization needs.
- Injection Source: This provides an external reference signal that subtly influences the VCO’s operating frequency. This “injection” is central to the IL-PLL’s unique locking mechanism.
- Phase Detector (PD): The PD compares the phase difference between the feedback signal (from the VCO’s output) and the reference signal. It generates an error signal that guides the subsequent adjustments within the loop.
- Loop Filter: This component processes the error signal from the PD. It acts as a low-pass filter, removing high-frequency noise and ensuring a smooth, stable control voltage for tuning the oscillator.
- Optional Frequency Divider: In some IL-PLL configurations, a frequency divider is used. This component scales down the VCO’s frequency before it is injected back into the loop. This can improve stability and phase alignment, particularly for certain frequency ranges.
- The Principle of Injection Locking
- Key Advantages
- Improved Phase Noise Performance: By leveraging a high-quality reference signal through injection locking, IL-PLLs can significantly suppress phase noise contributions from the oscillator, leading to cleaner output signals.
- Lower Power Consumption: IL-PLLs can operate with reduced loop bandwidths, allowing for energy-efficient circuit designs and contributing to lower overall power consumption.
- Fast Locking Times: These systems are particularly useful in applications requiring rapid frequency acquisition, such as adaptive frequency synthesis and agile radar systems, due to their ability to achieve fast locking times.
- Reduced Jitter: A key advantage is the reduced jitter, as the injected reference signal dominates the oscillator’s phase response, resulting in enhanced timing stability.
- Limitations and Challenges
- Limited Locking Range: The frequency pulling effect depends on the injection strength and oscillator characteristics, meaning that IL-PLLs can only operate reliably within a narrow range of reference frequencies.
- Sensitivity to Injection Strength: Instability can arise if the injected signal is too weak, leading to unreliable phase locking.
- VCO Design Constraints: Oscillators must be specifically designed to exhibit strong injection-pulling characteristics while simultaneously maintaining low inherent noise, posing a significant design challenge.
- Distortion Effects: In high-noise environments, distortion effects may emerge due to harmonics and spurious tones introduced during the injection process.
- Dependence on External Reference: IL-PLLs rely on a high-quality external reference signal, making them dependent on external sources, which may not always be readily available or stable.
- Temperature Sensitivity: Temperature variations can affect locking performance, often necessitating additional compensation techniques to maintain stability across different operating conditions.
- Diverse Applications
- Wireless Communication: IL-PLLs are used for frequency synthesis in RF transceivers, providing the high spectral purity needed for modulation and demodulation processes.
- Clock Recovery Circuits: In high-speed digital systems, IL-PLLs help regenerate stable clock signals from noisy data streams, effectively reducing timing jitter.
- Radar Systems: They enable coherent signal generation, improving target detection accuracy through phase-sensitive processing.
- Optical Communication: IL-PLLs facilitate carrier recovery and phase synchronization in coherent optical receivers, enhancing data integrity and transmission efficiency.
3.3.7. Delay Locked Loops (DLLs)—Precision Timing Circuits
- How a DLL Works
- Phase Detector (PD): This component measures the phase difference between the reference clock and the delayed output signal. It then generates an error signal indicating the necessary adjustment.
- Charge Pump (CP) and Loop Filter (LF): These work together to convert the phase error signal into a control voltage. The Loop Filter smooths this voltage, eliminating high-frequency noise, before applying it to the VCDL.
- Voltage-Controlled Delay Line (VCDL): The VCDL is where the magic happens. It introduces a controllable delay to the input signal, ensuring that the output phase gradually aligns with the reference clock.
- Advantages of DLLs
- Superior Jitter Performance: By avoiding frequency drift, DLLs typically achieve better jitter performance.
- Faster Locking Time: Since they do not need to converge on a frequency, DLLs generally lock much faster than PLLs.
- Lower Power Consumption: DLLs do not require a Voltage-Controlled Oscillator (VCO), which is often a power-hungry component in PLLs, leading to lower overall power consumption.
- Improved Clock Distribution: They excel at minimizing clock skew and enhancing overall system reliability in integrated circuits.
- Limitations of DLLs
- No Frequency Multiplication: DLLs cannot perform frequency synthesis or multiplication, making them unsuitable for applications that require generating new frequencies.
- Limited Operating Range: Their operating range is constrained by the VCDL’s delay adjustment window.
- Phase Ambiguity: Some DLL designs may exhibit phase ambiguity, necessitating additional logic to ensure reliable locking.
- Applications and Future Trends
- Analog-based architectures like the APLL generally offer simplicity in their fundamental design but are often characterized by limited flexibility.
- Digital solutions such as the ADPLL and DPLL provide enhanced precision and adaptability, particularly due to their programmability, though this comes with an increase in design complexity.
- Fractional-N PLLs stand out for their ability to achieve agile frequency synthesis, allowing for fine frequency tuning. However, this often comes at the cost of higher jitter compared to integer-N designs.
- DLLs are particularly notable for their low noise performance in applications requiring high-speed data synchronization, excelling in precise phase alignment rather than frequency generation.
4. Challenges and Solutions
4.1. Phase Noise and Jitter
- The Critical Impact of Phase Noise and Jitter in PLLs
- Far-Reaching Implications
- Digital Systems: Jitter erodes crucial timing margins, potentially leading to setup/hold violations that cause data errors. This was observed in high-jitter early designs [25].
- Data Converters (ADCs/DACs): In analog-to-digital or digital-to-analog converters, jitter introduces aperture uncertainty, directly compromising the converter’s resolution [14].
- RF Systems: In radio frequency systems, phase noise limits adjacent channel rejection and significantly increases bit error rates. This is a major concern addressed in wideband PLL designs [22].
- Mitigation Strategies
- Power Integrity: Utilizing differential signaling and robust power isolation techniques helps mitigate disturbances introduced by the power supply.
4.2. Loop Stability and Bandwidth Trade-Off
- Faster tracking: The PLL can quickly lock onto and follow variations in the input signal.
- Reduced reference spur filtering: It is less effective at filtering out unwanted spurious signals from the reference.
- Enhanced VCO phase noise suppression: It more effectively filters out noise generated by the Voltage-Controlled Oscillator.
- Improved noise shaping: It can better shape the noise spectrum for desired performance.
- Ensuring Loop Stability
- Frequency response peaking: The PLL’s response becomes exaggerated at certain frequencies.
- Excessive jitter amplification: Unwanted timing variations are magnified.
- Potential instability: The loop might fail to maintain lock.
- Advanced Mitigation Techniques
4.3. Spurs and Reference Spurious Tones
- Origins of Spurs
- Reference Spurs: These arise from periodic disturbances, including the following:
- –
- Charge pump current mismatches.
- –
- Phase detector nonlinearities.
- –
- Reference clock leakage through parasitic paths [35].
- Fractional Spurs (in Fractional-N PLLs): The quantization noise from sigma-delta modulators, if not properly shaped, can fold back into the signal band, a known challenge in early fractional-N implementations [17].
- Layout-Induced Coupling: As integration density increases, especially in sub-16 nm processes, digital switching noise can infiltrate sensitive analog nodes, exacerbating spur levels. This was observed in a 16 nm PLL by Thaller et al. [24,31]. These effects intensify with growing integration density, posing significant hurdles in mixed-signal System-on-Chips (SoCs).
- Strategies for Mitigation
- Charge Pump Linearization: Employing adaptive current matching techniques can reduce reference spurs to impressively low levels (e.g., below −75 dB), as demonstrated in a 65 nm CPPLL [7].
- Low-Glitch Phase Detectors: Pairing low-glitch phase detectors with fully differential signal paths helps minimize deterministic ripple [36].
- Robust Layout Strategies: Techniques like deep N-well isolation, guard rings, and shielded routing are crucial for effectively mitigating substrate noise. These methods have been validated in designs, including a 16 nm PLL [31].
4.4. Frequency Range and Programmability
- The Challenges of Wide Frequency Operation
- Loop Filter and Divider Consistency: Programmable loop filters and dividers must ensure loop stability and consistent behavior across all frequency settings, a critical aspect in multi-mode systems [34].
- Mitigation Strategies
- Digital Control of Loop Parameters: Digital control enables mode-dependent reconfiguration of loop parameters, optimizing locking dynamics for different operational modes [21].
4.5. Process, Voltage, and Temperature (PVT) Variations
- Impact of PVT Variations
- The VCO frequency might drift.
- Loop filter characteristics could shift.
- Bias currents may deviate from their intended values.
- Mitigation Strategies for PVT Sensitivity
4.6. Area and Integration Complexity
- Strategies for Minimizing Area and Complexity
- Inductor-less oscillator topologies: Although these may have higher phase noise, they are used in applications where area and integration are prioritized over noise performance, such as in IoT-focused designs [44].
- Resource-sharing techniques: These involve using a single VCO across multiple PLLs or channels, proving effective in multi-output clock generators [38].
- Careful layout strategies: Techniques like analog/digital partitioning, guard rings, and localized decoupling are crucial in advanced nodes like 14 nm [14] to maintain signal integrity and minimize interference between different circuit blocks.
4.7. Power Consumption
- The Performance-Power Trade-Off
- Strategies for Power Reduction
- Oscillator Choice: For ultra-low-power applications, ring oscillators can replace LC-VCOs. While they might sacrifice some phase noise performance, they offer significantly reduced current consumption, a strategy demonstrated in IoT-focused designs [44].
- Power Management Techniques: Techniques like power gating, dynamic biasing, and clock gating prove highly effective in minimizing both dynamic (active) and static (leakage) power draw, contributing to more energy-efficient architectures.
- DPLL Optimization: In digital PLLs (DPLLs), optimizing logic paths and adopting event-driven architectures can significantly curtail switching activity, thereby reducing power consumption [9].
- Strategic Partitioning and Logic Design: The strategic partitioning of analog and digital power domains, coupled with the application of subthreshold or near-threshold logic, enhances energy efficiency without compromising functionality. This strategy has been refined in advanced process nodes like 14 nm [14] and 22 nm.
4.8. Testing and Verification Complexity
- The Complexities of PLL Testing
- Nonlinear Dynamics: Phenomena like fractional-N spur generation demand specialized test setups. Achieving sub-100 femtosecond (fs) jitter accuracy, crucial for high-precision PLLs, often requires measurement times exceeding 1 millisecond (ms) [14].
- Limited Observability: In modern SoCs below 28 nanometers (nm), limited access to internal nodes makes on-chip testing significantly more difficult.
- Mixed-Signal Interactions: The interplay between analog and digital components necessitates high-fidelity simulation models to accurately predict behavior, a concern addressed in hybrid architectures [11].
- Stringent Standards: Ensuring compliance with demanding standards, such as those for 5G, requires exhaustive corner-case testing, as demonstrated by the rigorous verification protocols of some designs [41].
- Mitigation Strategies
- Built-in Self-Test (BIST) Circuits: Integrating BIST circuits, including on-chip jitter and spur measurement capabilities, streamlines the validation process. For example, a 40 nm PLL design achieved a significantly reduced test time of 10 microseconds (s) using BIST, lowering overall verification overhead [45].
- Mixed-Signal Simulation Frameworks: Utilizing frameworks that integrate both analog SPICE and digital Verilog simulations enhances accuracy, providing a comprehensive modeling approach [9].
- Automated Test Pattern Generation (ATPG): For digital PLLs (DPLLs), ATPG helps reduce test complexity. A notable example in a 14 nm DPLL design optimized test coverage and efficiency [14].
5. Comparison of Published Designs—Trends and Insights in PLL Design: A Decade of Advancements (2016–2025)
- Steady Performance Improvement: PLL designs have shown consistent performance enhancements over the past decade in Figure 16. Integrated jitter has improved by approximately 2.5× per decade, decreasing from 0.16 psrms [25] to 65 fsrms [21], largely due to advancements in digital-to-time converter (DTC) calibration and noise suppression techniques. The Figure-of-Merit for jitter (FoM jitter) has also improved significantly, by 8.5 dB per decade, reaching −272 dB in a 2025 design [21]. Concurrently, bandwidth has expanded by roughly 10x per decade, with early designs operating at 2.4 GHz [6] and recent works achieving 9.05–37.0 GHz [22]. This progression clearly indicates an industry focus on achieving ultra-low jitter and wideband tunability.
- Process Technology’s Influence: Process technology plays a crucial role in PLL performance. Advanced nodes (14 nm and 22 nm), as utilized in designs like Wu et al. [14] and Dartizio et al. [39], enable the smallest silicon areas (e.g., <0.05 mm2), benefiting from aggressive scaling and optimized layouts. In contrast, 28–65 nm planar CMOS processes, employed in designs such as Huang et al. [26] and Jia et al. [46], tend to yield the highest FoM values (up to 196.9 dBc/Hz). This is attributed to the maturity of analog components and better power efficiency in these nodes. This suggests a clear trade-off: advanced nodes prioritize miniaturization, while mid-range nodes excel in noise performance.
- Architectural Diversity and Trade-offs: The PLL landscape encompasses a variety of architectures: Analog PLLs (APLLs), digital PLLs (DPLLs), all-digital PLLs (ADPLLs), integer-N, fractional-N, and Injection-Locked PLLs (IL PLLs). Fractional-N designs are prevalent, exemplified by Gao et al. [17] and Park et al. [14], offering superior frequency resolution (2.6–4.1 GHz) but often facing challenges with higher fractional spurs (−59 dBc). Integer-N PLLs, such as Kong et al. [6], provide good stability with lower jitter but are limited in tunability. It is evident that no single architecture consistently outperforms others across all metrics. However, ADPLLs show considerable promise in achieving high-frequency robustness, warranting further research and development.
- Multi-core VCOs and Harmonic-Shaping as Performance Benchmarks: Multi-core VCOs and harmonic-shaping techniques have emerged as key enablers for high-performance PLLs. Quad-core designs, such as those by Jia et al. [46] and Guan et al. [32], achieve impressive FoM values up to 200.2 dBc/Hz and bandwidths exceeding 35 GHz, while minimizing area (e.g., 0.049 mm2 in Gong et al. [47]). Techniques like harmonic extraction and mode-switching, as implemented in Guo et al. [40], further enhance phase noise suppression, making these approaches essential for wideband applications.
- DTC-based Techniques for Jitter and Spur Mitigation: DTC-based techniques are crucial for reducing jitter and spurs. Earlier implementations improved linearity through background calibration [37], while recent designs leverage quantization-error compensation to achieve remarkably low jitter, such as 65 fsrms [21]. However, the effectiveness of DTCs appears to diminish at bandwidths exceeding 20 GHz, suggesting a future need for hybrid analog-digital approaches.
- Power-Performance Trade-offs: A persistent trade-off exists between performance and power consumption. Low-power designs, exemplified by Dartizio et al. [23] at 380 W, target IoT applications often utilizing duty-cycled architectures. Conversely, high-bandwidth PLLs, such as Guo et al. [22] (9.05–37.0 GHz), can consume significantly more power, up to 12 mW [30]. This highlights the ongoing need for innovative power management strategies.
- Comparator and Op-amp-Based Designs: Multi-input comparators [48] generally offer limited SNDR and FoM due to nonlinearity. In contrast, op-amp-based designs [9] can achieve higher SNDR (up to 70 dB) but are typically confined to low speeds (<1 GHz). This suggests that op-amps remain relevant for niche, high-precision applications.
- Persistent Challenge of Fractional Spurs: Fractional spurs remain a significant challenge. Performance is often capped by natural DAC matching at around −63.7 dBc [39]. While foreground calibration [32] and background methods [14] are commonly used, their power and area overheads are often not fully explored in published literature.
- Dominance of Foreground and Hybrid Calibration: Foreground calibration techniques are dominant for mismatch correction, demonstrating fast calibration times (e.g., 5.5 s [32]) and ensuring high SNDR and FoM. The emergence of digital and analog mismatch-shaping techniques provides competitive performance with lower overhead, advocating for the adoption of hybrid calibration strategies in future designs.
- Versatility Across Applications: PLLs exhibit remarkable versatility across diverse applications, including RF, Bluetooth [36], 5G [34], and even cryogenic interfaces [49]. Fractional-N and ADPLL architectures, further enhanced by multi-core VCOs and DTC, are leading the way in high-performance systems across these varied domains.
Year | Publication | Tech. (nm) | Area (mm2) | Power (mW) | Ref. Freq (MHz) | Output Freq. (GHz) | Phase Noise at 1 MHz Offset (dBc/Hz) | Ref. Spur (dB) | FoM (dB) | Jitter (fs) Integ. Range | Topology |
---|---|---|---|---|---|---|---|---|---|---|---|
2016 | [6] Long Kong | 45 | 0.015 | 4 | 22.6 | 2.4 | −113.8 | −65 | −234.1 | 0.97 ps | Ring oscillator |
2017 | [9] C.-W | 14 | 0.257 | 13.4 | 26 | 2.69 | −113.6 | −87.6 | −246 | 137 | TDC/DTC Resolution |
2018 | [24] D. Cherniak | 65 | 0.42 | 19.7 | 52 | 20.4–24.6 | −90 | −58 | - | - | BBPLL+TPM |
[50] H. Yoon | 65 | 0.95 | 36.4 (x15 mode) | 120 | 25.0–30.0 | −89 | −83 | - | 206 @29.22 (1 KHz–100 MHz) | RFD+GHz-PLL+ ILFMs Using Quadrature | |
2019 | [24] W. Wu | 28 | 0.45 | 18.9 | 52x2 | 6.33 | −115.1 | −70.2 | −249.7 | 75 | Sampling Analog |
[51] J. Seol | 28 | 0.07 | 3.6 | 50 | 2 | −120.8 | −80 | −240.3 | 0.508 (10 KHz–100 MHz) | OSPLL | |
2020 | [17] Z. Gao | 40 | 0.31 | 3.48 | 40 | 2.56 to 41 (46%) | - | −59 | −249.4 | 182 (10 KHz to 40 MHz) | Type II Fractional N Digital |
[13] T. Seong | 65 | 0.108 | 9.88 | 100 | 5.5 (4.5 to 6.0) | −124.9 | −58 | −233.8 | 648 (1 KHz to 300 MHz) | DPLL and mothod TIPM | |
[52] M. Mercandelli | 28 | 0.16 | 18 | 500 | 11.9–14.1 | - | −73.5 | −252.1 | 58.2 | Analog Type _I with Fractional-N | |
[29] P. Renukaswamy | 28 | 0.9 | 11.7 | 80 | 8.3 to 11.7 | −109.1 | 314 (10 k to 81 MHz) | QDAC/TPM SS-PLL | |||
2021 | [14] H. Park | 65 | 0.146 | 9.27 | 100 | 5.3 (5.2 to 6.0) | −128.8 | −77 | −239.1 | 365 (10 KHz to 30 MHz) | DPLL |
[41] W. Wu | 14 | 0.31 | 14.2/8.2 | 76.8x2 | 3.1 | - | −72 | −250.4/−251.6 | 80/91.5 (10 KHz to 40 MHz) | Analog Typle II (SPD) | |
[53] J. Kim | 65 | 0.21 | 7.3 | 150 | 14 to 16 | - | −61 | −251 | 104 | Digital SS-PLL | |
[31] E. Thaller | 16 FinFET | 0.5 | 56 | 245.76 | 12.1 to 16.6 | −115.13 | −75.1 | −249.0 | 47.3/49.9 | Digital SS- PLL type | |
2022 | [54] C. Hwang | 65 | 0.139 | 15.67 | 100 | 5.2 (4.4 to 5.4) | −133.4 | −64 | −242.6 | 188 (1 KHz to 30 MHz) | DPLL |
[55] S. M. Dartizio | 28 | 0.23 | 20 | 250 | 8.5 to 10 | - | −70.2 | −251.8 | 48.6 | BBPLL | |
[56] X. Geng | 65 | 0.45 | 14.48 | 200 | 24 to 28.2 | - | −47 | −252.8 | 60 @ 25.8 GHz (20 KHz to 300 MHz) | CPPLL With TAPFD | |
[57] H. Shanan | 28 | 2 | 187 | 80 to 200 | 8.8 to 12 | −121 | −237.5 | 97 | RTWO_based ADPLL | ||
2023 | [18] Y. Jo | 65 | 0.38 | 9.5 | 150 | 3.0 to 3.7 | - | −67 | −244.9 | 89 | Digital SSPLL |
[39] M. Dartizio | 28 | 0.33 | 17.2 | 250 | 9.25 to 10.5 | - | −70.5 | −250 | 76.7 | BBPLL with ICS DTC + FCW Subtractive Dithering | |
[58] D. Xu | 65 | 0.48 | 14.2 | 50 | 6.5 | - | −72.4 | −242.9 | 191 | Frac+Frac | |
[59] Q. Zhang | 65 | 0.23 | 13.56 | 50 | 1.5004 | −116 | −58 | −230.6 | 0.8 ps (10 KHz to 100 MHz) | ADLLs and ILPLL | |
2024 | [60] Y. Shin | 40 | 0.17 | 15.3 | 150 | 10.4 to 11.8 | −109.3 @ 100 KHz | −65 | −250.5 | 76 (10 KHz to 100MHz) | Digital SPLL |
[61] M. Rossoni | 28 | 0.21 | 17.5 | 250 | 8.75 to 10.25 | - | −69.4 | −253.5 | 57.3 (1KHz–100 MHz) | RCVS-DTC Quatization Error cancellation Approach with DPLL | |
[62] A. Narayanan | 65 | 0.54 | 59-66 | 106.25 | 6–12 | −108.4 (@1 MHz) | <−60 | - | 300–510 (1 KHz–40 MHz) | Single PLL with External Analog loop Filter | |
2025 | [21] M. Chae | 40 | 0.12 | 14.4 | 100 | 10 to 11.5 | −114.3 | - | −253.2 | 65 (1 KHz to 100 MHz) | Delta sigma Q-error compensation method |
[23] S. M. Dartizio | 22 | 0.3 | 380 (mW) | 24 | 2.25 to 2.54 | −131.2 (@10 MHz) | −71.3 | −242.8 | 1.17 ps | DPLL | |
[63] S. Gallucci | 28 | 0.21 | 9.5 | 250 | 4.4 to 5 | −146. (@10 MHz) | −80.6 | −257 | 45.8 | Digital BB-PLL | |
[64] F. Bu | 65 | 0.2 | 19.2 | 200 | 8.8 (7.4 to 9.2) | - | −72.96 | −249.9 | 73.28 (10 KHz–100 MHz) | Analog DSPLL with PI and VI Spur cancellation scheme | |
[65] M. Rossoni | 28 | 0.21 | 17.5 | 250 | 8.75–10.25 | - | −69.4 | −253.5 | 57.3 | BBPLL with RCVS-DTC |
6. Future Trends in PLL Design
6.1. Ultra-Low-Power PLLs for IoT and Biomedical Applications
6.2. The Rise of Digital and All-Digital PLLs
6.3. Wideband, Fast-Locking and DDS-Based PLLs for Next-Generation Communications
6.4. Machine Learning-Assisted and Self-Healing PLLs
6.5. Robust PLL Design in Advanced CMOS Nodes
6.6. Quantum Optics and Precision Metrology
6.7. Integration with Emerging Technologies
- In quantum computing systems, ultra-low-jitter PLLs are essential for coherent clock distribution.
- In edge-AI processors, high-speed clock generation with minimal phase noise is crucial for data throughput.
- In terahertz systems, novel oscillator topologies and frequency multiplication techniques are needed to push PLLs into regimes beyond 300 GHz.
7. Conclusions
Author Contributions
Funding
Conflicts of Interest
References
- Rhee, W.; Yu, Z. Phase-Locked Loops, 1st ed.; Wiley-IEEE Press: Hoboken, NJ, USA, 2023. [Google Scholar]
- Rohde, U.L.; Rubiola, E.; Whitaker, J.C. Digital PLL Synthesizers. In Microwave and Wireless Synthesizers: Theory and Design; Wiley: Hoboken, NJ, USA, 2021. [Google Scholar]
- Farzaneh, F.; Fotowat, A.; Kamarei, M.; Nikoofard, A.; Elmi, M. 3 PLL, FM Modulation, FM Demodulation. In Introduction to Wireless Communication Circuits; River Publishers: Aalborg, Denmark, 2018; pp. 125–168. [Google Scholar]
- Murthi, E.N. A monolithic phase-locked loop with post detection processor. IEEE J. Solid-State Circuits 1979, 14, 155–161. [Google Scholar] [CrossRef]
- Aditya, S.; Moorthi, S. A low jitter wide tuning range phase locked loop with low power consumption in 180nm CMOS technology. In Proceedings of the 2013 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia), Visakhapatnam, India, 19–21 December 2013; pp. 228–232. [Google Scholar]
- Kong, L.; Razavi, B. A 2.4 GHz 4 mW Integer-N Inductorless RF Synthesizer. IEEE J. Solid-State Circuits 2016, 51, 626–635. [Google Scholar] [CrossRef]
- Ho, C.-R.; Chen, M. A Digital PLL with Feedforward Multi-Tone Spur Cancelation Loop Achieving <-73 dBc Fractional Spur and <-110 dBc Reference Spur in 65nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 190–191. [Google Scholar]
- Wu, Y.; Shahmohammadi, M.; Chen, Y.; Lu, P.; Staszewski, R.B. A 3.5–6.8-GHz Wide-Bandwidth DTC-Assisted Fractional-N All-Digital PLL With a MASH ΔΣ-TDC for Low In-Band Phase Noise. IEEE J. Solid-State Circuits 2017, 52, 1885–1903. [Google Scholar] [CrossRef]
- Yao, C.-W.; Ni, R.; Lau, C.; Wu, W.; Godbole, K.; Zuo, Y.; Ko, S.; Kim, N.-S.; Han, S.; Jo, I.; et al. A 14-nm 0.14-psrms Fractional-N Digital PLL With a 0.2-ps Resolution ADC-Assisted Coarse/Fine-Conversion Chopping TDC and TDC Nonlinearity Calibration. IEEE J. Solid-State Circuits 2017, 52, 3446–3457. [Google Scholar] [CrossRef]
- He, Y.; Liu, Y.; Kuramochi, T.; van den Heuvel, J.; Busze, B.; Markulic, N.; Bachmann, C.; Philips, K. A 673μW 1.8-to-2.5GHz Dividerless Fractional-N Digital PLL with an Inherent Frequency-Capture Capability and a Phase-Dithering Spur Mitigation for IoT Applications. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 5–9 February 2017; pp. 420–421. [Google Scholar]
- Liu, H.; Sun, Z.; Tang, D.; Huang, H.; Kaneko, T.; Chen, Z.; Deng, W.; Wu, R.; Okada, K. A DPLL-Centric Bluetooth Low-Energy Transceiver With a 2.3-mW Interference-Tolerant Hybrid-Loop Receiver in 65-nm CMOS. IEEE J. Solid-State Circuits 2018, 53, 3672–3687. [Google Scholar] [CrossRef]
- Zhang, H.; Narayanan, A.T.; Herdian, H.; Liu, B.; Wang, Y.; Shirane, A.; Okada, K. 0.2mW 70fsrms-Jitter Injection-Locked PLL Using De-Sensitized SSPD-Based Injecting-Time Self-Alignment Achieving-270dB FoM and -66dBc Reference Spur. In Proceedings of the VLSI Circuits Symposium, Kyoto, Japan, 9–14 June 2019; pp. C38–C39. [Google Scholar]
- Seong, T.; Lee, Y.; Hwang, C.; Lee, J.; Park, H.; Lee, K.J.; Choi, J. A -58dBc-Worst-Fractional-Spur and -234dB-FoM Jitter, 5.5GHz Ring-DCO-Based Fractional-N DPLL Using a Time-Invariant-Probability Modulator. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 270–271. [Google Scholar]
- Park, H.; Hwang, C.; Seong, T.; Lee, Y.; Choi, J. A 365fsrms-Jitter and -63dBc-Fractional Spur 5.3GHz-Ring-DCO-Based Fractional-N DPLL Using a DTC Second/Third-Order Nonlinearity Cancelation and a Probability-Density-Shaping ΔΣM. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; pp. 442–444. [Google Scholar]
- Wu, W.; Yao, C.W.; Guo, C.; Chiang, P.Y.; Chen, L.; Lau, P.K.; Bai, Z.; Son, S.W.; Cho, T.B. A 14-nm Ultra-Low Jitter Fractional-N PLL Using a DTC Range Reduction Technique and a Reconfigurable Dual-Core VCO. IEEE J. Solid-State Circuits 2021, 56, 3756–3767. [Google Scholar] [CrossRef]
- Liu, B.; Zhang, Y.; Qiu, J.; Ngo, H.C.; Deng, W.; Nakata, K.; Yoshioka, T.; Emmei, J.; Pang, J.; Narayanan, A.T.; et al. A Fully Synthesizable Fractional-N MDLL With Zero-Order Interpolation-Based DTC Nonlinearity Calibration and Two-Step Hybrid Phase Offset Calibration. IEEE Trans. Circuits Syst. I Regul. Pap. 2021, 68, 603–616. [Google Scholar] [CrossRef]
- Gao, Z.; He, J.; Fritz, M.; Gong, J.; Shen, Y.; Zong, Z.; Chen, P.; Spalink, G.; Eitel, B.; Yamamoto, K.; et al. A 2.6-to-4.1GHz Fractional-N Digital PLL Based on a Time-Mode Arithmetic Unit Achieving -249.4dB FoM and -59dBc Fractional Spurs. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 380–381. [Google Scholar]
- Jo, Y.; Kim, J.; Shin, Y.; Hwang, C.; Park, H.; Choi, J. A 135fsrms-Jitter 0.6-to-7.7GHz LO Generator Using a Single LC-VCO Based Subsampling PLL and a Ring-Oscillator-Based Sub-Integer-N Frequency Multiplier. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 76–77. [Google Scholar]
- Ge, H.; Jia, H.; Deng, W.; Ma, R.; Wang, Z.; Chi, B. A 13.7-to-41.5GHz 214.1dBc/Hz FoMT Quad-Core Quad-Mode VCO Using an Oscillation-Mode-Splitting Technique. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 356–358. [Google Scholar]
- Lin, Z.; Jia, H.; Deng, W.; Chi, B. An 18.5-to-36.5 GHz 206.8 dBc/Hz FoMT Quad-Core Triple-Mode VCO with Automatic-Mode-Tracking Output Buffers. In Proceedings of the IEEE RFIC Symposium, Washington, DC, USA, 16–18 June 2024; pp. 87–90. [Google Scholar]
- Chae, M.; Jang, S.; Hwanq, C.; Park, H.; Choi, J. A 65fsrms Jitter and-272dB-FoMjitter, N 10.1GHz Fractional-N Digital PLL with a Quantization-Error-Compensating BBPD and an Orthogonal-Polynomial LMS Calibration. In Proceedings of the 2025 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2025; pp. 554–556. [Google Scholar]
- Guo, H.; Hu, Y.; Chi, T. A 9.05-to-37.0 GHz LO Generator with Magnetic Mode Switching and Tuning-Free Octave-Bandwidth Common Mode Resonator Achieving >190.7dBc/Hz FoM. In Proceedings of the 2025 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2025; pp. 560–562. [Google Scholar]
- Dartizio, S.M.; Castoro, G.; Gallucci, S.; Rossoni, M.; Moleri, R.; Tesolin, F.; Salvi, P.; Karman, S.; Lacaita, A.L.; Levantino, S. A 380μW and -242.8dB FoM Digital-PLL-Based GFSK Modulator with Sub-20s Settling Frequency Hopping for Bluetooth Low-Energy in 22nm CMOS. In Proceedings of the 2025 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2025; pp. 560–562. [Google Scholar]
- Cherniak, D.; Grimaldi, L.; Bertulessi, L.; Nonis, R.; Samori, C.; Levantino, S. A 23-GHz Low-Phase-Noise Digital Bang-Bang PLL for Fast Triangular and Sawtooth Chirp Modulation. IEEE J. Solid-State Circuits 2018, 53, 3565–3575. [Google Scholar] [CrossRef]
- Gao, X.; Burg, O.; Wang, H.; Wu, W.; Tu, C.T.; Manetakis, K.; Zhang, F.; Tee, L.; Yayla, M.; Xiang, S.; et al. A 2.7-to-4.3GHz, 0.16psrms-Jitter, -246.8dB-FOM, Digital Fractional-N Sampling PLL in 28nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 174–175. [Google Scholar]
- Huang, Z.; Jiang, B.; Li, L.; Luong, H.C. A 4.2μs-settling-time 3rd-order 2.1GHz phase-noise rejection PLL using a cascaded time-amplified clock-skew sub-sampling DLL. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 31 January–4 February 2016; pp. 40–41. [Google Scholar]
- Jia, H.; Deng, W.; Guan, P.; Wang, Z.; Chi, B. A 60GHz 186.5dBc/Hz FoM Quad-Core Fundamental VCO Using Circular Triple-Coupled Transformer with No Mode Ambiguity in 65nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; pp. 298–299. [Google Scholar]
- Turker, D.; Bekele, A.; Upadhyaya, P.; Verbruggen, B.; Cao, Y.; Ma, S.; Erdmann, C.; Farley, B.; Frans, Y.; Chang, K. A 7.4-to-14GHz PLL with 54fsrms jitter in 16nm FinFET for integrated RF-data-converter SoCs. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 378–380. [Google Scholar]
- Renukaswamy, P.; Markulic, N.; Park, S.; Kankuppe, A.; Shi, Q.; Wambacq, P.; Craninckx, J. A 12mW 10GHz FMCW PLL Based on an Integrating DAC with 90kHz rms Frequency Error for 23MHz/s Slope and 1.2GHz Chirp Bandwidth. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 278–279. [Google Scholar]
- Guan, P.; Jia, H.; Deng, W.; Ma, R.; Liao, H.; Wang, Z.; Chi, B. A 25.0-to-35.9GHz Dual-Layer Quad-Core Dual-Mode VCO with 189.1dBc/Hz FoM and 200.2dBc/Hz FoMT at 1MHz Offset in 65nm CMOS. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), San Antonio, TX, USA, 23–26 April 2023; pp. 1–2. [Google Scholar]
- Thaller, E.; Levinger, R.; Shumaker, E.; Farber, A.; Bershansky, S.; Geron, N.; Ravi, A.; Banin, R.; Kadry, J.; Horovitz, G. A K-Band 12.1-to-16.6GHz Subsampling ADPLL with 47.3fsrms Jitter Based on a Stochastic Flash TDC and Coupled Dual-Core DCO in 16nm FinFET CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; pp. 452–453. [Google Scholar]
- Jang, S.; Chae, M.; Park, H.; Hwang, C.; Choi, J. A 5.5μs-Calibration-Time, Low-Jitter, and Compact-Area Fractional-N Digital PLL Using the Recursive-Least Squares (RLS) Algorithm. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 190–191. [Google Scholar]
- Bechthum, E.; Dijkhuis, J.; Ding, M.; He, Y.; Van Den Heuvel, J.; Mateman, P.; Van Schaik, G.-J.; Shibata, K.; Song, M.; Tiurin, E.; et al. A Low-Power BLE Transceiver with Support for Phase-Based Ranging, Featuring 5μs PLL Locking Time and 5.3ms Ranging Time, Enabled by Staircase-Chirp PLL with Sticky Lock Channel-Switching. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 470–472. [Google Scholar]
- Lee, J.; Kang, B.; Joo, S.; Lee, S.; Lee, J.; Kang, S.; Jo, I.; Ahn, S.; Lee, J.; Bae, J.; et al. A Low-Power and Low-Cost 14nm FinFET RFIC Supporting Legacy Cellular and 5G FR1. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; pp. 90–91. [Google Scholar]
- Liu, H.; Tang, D.; Sun, Z.; Deng, W.; Ngo, H.C.; Okada, K.; Matsuzawa, A. A 0.98mW Fractional-N ADPLL Using 10b Isolated Constant-Slope DTC with FOM of -246dB for IoT Applications in 65nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 246–247. [Google Scholar]
- Babaie, M.; Kuo, F.; Chen, H.R. A Fully Integrated Bluetooth Low-Energy Transmitter in 28nm CMOS With 36% System Efficiency at 3 dBm. IEEE J. Solid-State Circuits 2016, 51, 1547–1565. [Google Scholar] [CrossRef]
- Wu, W.; Yao, C.W.; Godbole, K.; Ni, R.; Chiang, P.Y.; Han, Y.; Zuo, Y.; Verma, A.; Lu, I.S.-C.; Son, S.W.; et al. A 28-nm 75-fsrms Analog Fractional-N Sampling PLL with a Highly Linear DTC Incorporating Background DTC Gain Calibration and Reference Clock Duty Cycle Correction. IEEE J. Solid-State Circuits 2019, 54, 1254–1265. [Google Scholar] [CrossRef]
- Elkholy, A.; Saxena, S.; Shu, G.; Elshazly, A.; Hanumolu, P.K. Low-Jitter Multi-Output All-Digital Clock Generator Using DTC-Based Open Loop Fractional Dividers. IEEE J. Solid-State Circuits 2018, 53, 1806–1817. [Google Scholar] [CrossRef]
- Dartizio, M.; Tesolin, F.; Castoro, G.; Buccoleri, F.; Lanzoni, L.; Rossoni, M.; Cherniak, D.; Bertulessi, L.; Samori, C.; Lacaita, A.L.; et al. A 76.7fs-Integrated-Jitter and -71.9dBc In-Band Fractional-Spur Bang-Bang Digital PLL Based on an Inverse-Constant Slope DTC and FCW Subtractive Dithering. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 3–4. [Google Scholar]
- Guo, H.; Chen, Y.; Huang, Y.; Mak, P.I.; Martins, R.P. An 83.3-to-104.7GHz Harmonic-Extraction VCO Incorporating Multi-Resonance, Multi-Core, and Multi-Mode (3M) Techniques Achieving -124dBc/Hz Absolute PN and 190.7dBc/Hz FoMT. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 152–154. [Google Scholar]
- Wu, W.; Yao, C.-W.; Guo, C.; Chiang, P.Y.; Lau, P.K.; Chen, L.; Son, S.W.; Son, T.B. A 14nm Analog Sampling Fractional-N PLL with a Digital-to-Time Converter Range-Reduction Technique Achieving 80fs Integrated Jitter and 93fs at Near-Integer Channels. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; pp. 444–445. [Google Scholar]
- Kim, H.; Jung, W.; Kim, K.; Kim, S.; Choi, W.S.; Jeong, D.K. A Low-Jitter 8-GHz RO-Based ADPLL With PVT-Robust Replica-Based Analog Closed Loop for Supply Noise Compensation. IEEE J. Solid-State Circuits 2022, 57, 1712–1722. [Google Scholar] [CrossRef]
- Tsai, T.-H.; Sheen, R.B.; Hsu, S.Y.; Chang, Y.T.; Chang, C.H.; Staszewski, R.B. A Cascaded PLL (LC-PLL + RO-PLL) with a Programmable Double Realignment Achieving 204fs Integrated Jitter (100kHz to 100MHz) and -72dB Reference Spur. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 376–377. [Google Scholar]
- Yuan, M.; Li, C.; Liao, C.; Lin, Y.T.; Chang, C.H.; Staszewski, R.B. A 0.45V sub-mW all-digital PLL in 16nm FinFET for Bluetooth low-energy (BLE) modulation and instantaneous channel hopping using 32.768kHz reference. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 448–450. [Google Scholar]
- Liu, Y.-H.; Van Den Heuvel, J.; Kuramochi, T.; Busze, B.; Mateman, P.; Chillara, V.K.; Wang, B.; Staszewski, R.B.; Philips, K. An Ultra-Low Power 1.7–2.7 GHz Fractional-N Sub-Sampling Digital Frequency Synthesizer and Modulator for IoT Applications in 40 nm CMOS. IEEE Trans. Circuits Syst. I Regul. Pap. 2017, 64, 1094–1105. [Google Scholar] [CrossRef]
- Jia, H.; Ma, R.; Deng, W.; Wang, Z.; Chi, B. A 53.6-to-60.2GHz Many-Core Fundamental Oscillator With Scalable Mesh Topology Achieving -136.0dBc/Hz Phase Noise at 10MHz Offset and 190.3dBc/Hz Peak FoM in 65nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 154–156. [Google Scholar]
- Gong, J.; Patra, B.; Enthoven, L.; van Staveren, J.; Sebastiano, F.; Babaie, M. A 0.049mm2 7.1-to-16.8GHz Dual-Core Triple-Mode VCO Achieving 200dB FoMA in 22nm FinFET. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 152–153. [Google Scholar]
- Kim, J.; Yoon, H.; Lim, Y.; Lee, Y.; Cho, Y.; Seong, T.; Choi, J. A 76fsrms Jitter and -40dBc Integrated-Phase-Noise 28-to-31GHz Frequency Synthesizer Based on Digital Sub-Sampling PLL Using Optimally Spaced Voltage Comparators and Background Loop-Gain Optimization. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 17–21 February 2019; pp. 258–259. [Google Scholar]
- Zhang, G.; Lin, H.; Wang, C. A Calibration-Free 12.8-16.5GHz Cryogenic CMOS VCO with 202dBc/Hz FoM for Classic-Quantum Interface. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 512–514. [Google Scholar]
- Yoon, H.; Kim, J.; Park, S.; Lim, Y.; Lee, Y.; Bang, J.; Lim, K.; Choi, J. A -31dBc Integrated-Phase-Noise 29GHz Fractional-N Frequency Synthesizer Supporting Multiple Frequency Bands for Backward Compatible 5G using a Frequency Doubler and Injection-Locked Frequency Multipliers. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 11–15 February 2018; pp. 366–367. [Google Scholar]
- Seol, J.; Sylvester, D.; Blaauw, D.; Jang, T. A Reference Oversampling Digital Phase-Locked Loop with -240dB FoM and -80dBc Reference spur. In Proceedings of the VLSI Circuits Symposium, Kyoto, Japan, 9–14 June 2019; pp. C160–C161. [Google Scholar]
- Mercandelli, M.; Santiccioli, A.; Parisi, A.; Bertulessi, L.; Cherniak, D.; Lacaita, A.L.; Samori, C.; Levantino, S. A 12.5GHz Fractional-N Type-I Sampling PLL Achieving 58fs Integrated Jitter. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 16–20 February 2020; pp. 274–275. [Google Scholar]
- Kim, J.; Jo, Y.; Lim, Y.; Seong, T.; Park, H.; Yoo, S.; Lee, Y.; Choi, S.; Choi, J. A 104fsrms-Jitter and -61dBc-Fractional Spur 15GHz Fractional-N Subsampling PLL Using a Voltage-Domain Quantization Error Cancelation Technique. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 13–22 February 2021; pp. 448–449. [Google Scholar]
- Hwang, C.; Park, H.; Seong, T.; Choi, J. A 188fsrms-Jitter and 243dB-FoMjitter 5.2GHz-Ring-DCO-Based Fractional-N Digital PLL with a 1/8 DTC-Range-Reduction Technique Using a Quadruple-Timing-Margin Phase Selector. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 378–380. [Google Scholar]
- Dartizio, S.M.; Buccoleri, F.; Tesolin, F.; Avallone, L.; Santiccioli, A.; Iesurum, A.; Steffan, G.; Cherniak, D.; Bertulessi, L.; Bevilacqua, A.; et al. A 68.6fsrms-Total-Integrated-Jitter and 1.56s Locking-Time Fractional-N Bang-Bang PLL Based on Type-II Gear Shifting and Adaptive Frequency Switching. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 386–387. [Google Scholar]
- Geng, X.; Tian, Y.; Xiao, Y.; Ye, Z.; Xie, Q.; Wang, Z. A 25.8GHz Integer-N PLL with Time-Amplifying Phase Frequency Detector Achieving 60fsrms Jitter, -252.8dB FoMJ, and Robust Lock Acquisition Performance. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 388–389. [Google Scholar]
- Shanan, H.; Dalton, D.; Chillara, V.; Dato, P. A 9-to-12GHz Coupled-RTWO FMCW ADPLL with 97fs RMS Jitter, -120dBc/Hz PN at 1MHz Offset, and With Retrace Time of 12.5ns and 2s Chirp Settling Time. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 20–26 February 2022; pp. 146–147. [Google Scholar]
- Xu, D.; Zhang, Y.; Huang, H.; Sun, Z.; Liu, B.; Fadila, A.A.; Qiu, J.; Liu, Z.; Wang, W.; Xiong, Y. A 6.5-to-8GHz Cascaded Dual-Fractional-N Digital PLL Achieving -63.7dBc Fractional Spurs with 50MHz Reference. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC), San Antonio, TX, USA, 23–26 April 2023; pp. 1–2. [Google Scholar]
- Zhang, Q.; Cheng, H.C.; Su, S.; Chen, M.S.W. A Fractional-N Digital MDLL with Injection-Error Scrambling and Background Third-Order DTC Delay Equalizer Achieving -67dBc Fractional Spur. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 19–23 February 2023; pp. 226–227. [Google Scholar]
- Shin, Y.; Lee, J.; Kim, J.; Jo, Y.; Choi, J. A 76fsrms-Jitter and -65dBc-Fractional Spur Fractional-N Sampling PLL Using a Nonlinearity-Replication Technique. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 196–197. [Google Scholar]
- Rossoni, M.; Dartizio, S.M.; Tesolin, F.; Castoro, G.; Dell’Orto, R.; Samori, C.; Lacaita, A.L.; Levantino, S. An 8.75GHz Fractional-N Digital PLL with a Reverse-Concavity Variable-Slope DTC Achieving 57.3fsrms Integrated Jitter and -252.4dB FoM. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 18–22 February 2024; pp. 188–189. [Google Scholar]
- Narayanan, A.; Bhat, A.; Krishnapura, N. A 6 to 12-GHz Fractional-N Frequency Synthesizer With a Digital Technique to Counter Modulus-Dependent Feedback Divider Delays. IEEE J. Solid-State Circuits 2024, 59, 2818–2830. [Google Scholar] [CrossRef]
- Gallucci, S.; Tesolin, F.; Salvi, P.; Rizzini, D.L.; Moleri, R.; Buccoleri, F.; Rossoni, M.; Castoro, G.; Dartizio, S.M.; Samori, C.; et al. A 4.75GHz Digital PLL with 45.8fs Integrated Jitter and 257dB FoM Based on a Voltage-Biased Harmonic-Shaping DCO with Adaptive Common-Mode Resonance Tuning. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA; 2025; pp. 558–560. [Google Scholar]
- Bu, F.; Ding, R.; Sun, D.; Wang, G.; Gao, Y.; Zhou, R.; Zhao, X.; Chen, L.; Liu, S.; Zhu, Z. A 7.4–9.2GHz Fractional-N Differential Sampling PLL Based on Phase-Domain and Voltage-Domain Hybrid Calibration. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 2025, 33, 1442–1446. [Google Scholar] [CrossRef]
- Rossoni, M.; Dartizio, S.M.; Tesolin, F.; Castoro, G.; Dell’Orto, R.; Lacaita, A.L.; Levantino, S. A Low-Jitter Fractional-N Digital PLL Adopting a Reverse-Concavity Variable-Slope DTC. IEEE J. Solid-State Circuits 2025, 60, 2122–2133. [Google Scholar] [CrossRef]
- Zhang, Z.; Wu, N. Design of High-Performance Phase-Locked Loop Using Hybrid Dual-Path Loop Architecture: An Overview (Invited Paper). In Proceedings of the 2020 IEEE 15th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Kunming, China, 3–6 November 2020; pp. 1–4. [Google Scholar]
- Tsai, T.-H.; Sheen, R.-B.; Chang, C.-H.; Hsieh, K.C.-H.; Staszewski, R.B. A Hybrid-PLL (ADPLL/Charge-Pump PLL) Using Phase Realignment With 0.6-μs Settling, 0.619-ps Integrated Jitter, and -240.5-dB FoM in 7-nm FinFET. IEEE Solid-State Circuits Lett. 2020, 3, 174–177. [Google Scholar] [CrossRef]
- Avitabile, G.; Man, K.L.; Talarico, C. DDS-PLL Architecture for Adaptive Beam Steering. In Proceedings of the 2024 21st International SoC Design Conference (ISOCC), Sapporo, Japan, 19–22 August 2024; pp. 205–206. [Google Scholar]
- Florio, A.; Coviello, G.; Talarico, C.; Avitabile, G. Adaptive DDS-PLL Beamsteering Architecture based on Real-Time Angle-of-Arrival Estimation. In Proceedings of the 2024 IEEE 67th International Midwest Symposium on Circuits and Systems (MWSCAS), Springfield, MA, USA, 11–14 August 2024; pp. 628–631. [Google Scholar]
- Florio, A.; Coviello, G.; Talarico, C.; Avitabile, G. Adaptive Beamsteering Architecture Based on AoA Estimation with Phase Shift on LO-Path for 5G NR. In Proceedings of the 2024 9th International Conference on Smart and Sustainable Technologies (SpliTech), Bol and Split, Croatia, 25–28 June 2024; pp. 1–5. [Google Scholar]
- Karim, B.A.; Ali, H.K. A novel beamforming technique using mmWave antenna arrays for 5G wireless communication networks. Digit. Signal Process. 2023, 134, 103917. [Google Scholar] [CrossRef]
- Xie, N.; Ou-Yang, L.; Liu, A.X. A Machine Learning Approach to Phase Reference Estimation With Noise. IEEE Trans. Commun. 2020, 68, 2579–2592. [Google Scholar] [CrossRef]
- Almhairat, S.; Wallace, B.; Larivière-Chartier, J.; El-Haraki, A.; Goubran, R.; Knoefel, F. Maintaining Synchrony of Dual Machine Learning: A Phase-Locked Loop Approach. In Proceedings of the 2022 IEEE Sensors Applications Symposium (SAS), Sundsvall, Sweden, 1–3 August 2022; pp. 1–6. [Google Scholar]
- Shen, X.; Costanzo, R.; Singaraju, P.; Bowers, S.M. Compact Integrated Phase Locked Loop for Optical Frequency Difference Locking. In Proceedings of the 2022 IEEE International Topical Meeting on Microwave Photonics (MWP), Orlando, FL, USA, 3–7 October 2022; pp. 1–4. [Google Scholar]
Charge Pump PLL | All Digital PLL | |
---|---|---|
Phase Error Information | Pump current | Quantized Digital |
Loop Filter | RC filter (passive or active) | Digital filter (FIR or IIR) |
Oscillator control | Analog (voltage or current) | Digital code (binary or thermometer) |
Noise sensitivity | Higher, due to analog components | Lower, more robust to noise |
Criterion | Fractional-N PLL | Integer-N PLL |
---|---|---|
Operating Principle | Output frequency is a non-integer multiple of the reference frequency (). | Output frequency is an integer multiple of the reference frequency (). |
Frequency Resolution | High resolution with fine frequency steps, suitable for agile applications. | Coarse resolution; steps are equal to . |
Complexity | Higher; requires fractional divider and delta-sigma modulator. | Lower; no complex fractional division. |
Phase Noise (Jitter) | Elevated due to quantization and modulation noise; requires noise shaping. | Generally lower when referenced to a low-jitter clock. |
Spurious Tones | Prone to spurs; needs advanced suppression techniques. | Minimal spurious emissions due to harmonic alignment. |
Lock Time | Faster due to finer tuning granularity. | Typically slower for large frequency jumps. |
Applications | Wireless communication, SDR, frequency-hopping systems. | Digital clocks, fixed-frequency generation. |
Power Consumption | Higher due to additional modulation circuitry. | Lower with simpler architecture. |
Criteria | APLL | DPLL | ADPLL | Integer-N PLL | Fractional-N PLL | IL PLL | DLL |
---|---|---|---|---|---|---|---|
Control Type | Analog signal-based | Mixed-signal | Fully digital | Frequency divider is integer | Frequency divider is fractional | Locked via injection | Delay control instead of phase lock |
Phase Detector | XOR or analog mixer | Digital PFD | Digital PFD | Digital PFD | Digital PFD | Often not needed or simplified | PFD or simple PFD |
Oscillator Type | VCO (analog) | Digital controlled VCO or hybrid | DCO | VCO (analog) | VCO (analog) | Injection-locked VCO | No VCO, use delay line |
Loop filter | Analog filter (RC, active) | Digital or mixed filter | Digital filter | Analog filter | Analog or Digital filter | Often minimal or absent | Often minimal or not needed |
Phase noise/ Jitter | Potentially low | Design dependent | Good, digital control friendly | Good | Can be higher (due to dithering) | Good within range | Very low (no VCO noise) |
Lock time | Moderate to fast | Moderate to fast | Very fast | Depends on N | Slower than Integer-N | Very fast | Fast |
Design Complexity | Moderate to high | Higher than analog | High (fully digital design) | Low to moderate | High (requires ) | Moderate | Low to moderate |
Flexibility | Limited by analog hardware | More flexible than analog | Very flexible | Limited (integer only) | Highly flexible (fractional step) | Limited by injection physics | Limited (depends on delay line) |
Applications | RF, analog communications | Telecom, digital electronics | SoC, digital RF, processors | Basic frequency synthesis | Multichannel RF, GSM, LTE | Clock recovery, high-speed clocks | DDR, SDRAM, high-speed data systems |
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content. |
© 2025 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (https://creativecommons.org/licenses/by/4.0/).
Share and Cite
Nguyen, T.V.H.; Pham, C.-K. An Overview of Phase-Locked Loop: From Fundamentals to the Frontier. Sensors 2025, 25, 5623. https://doi.org/10.3390/s25185623
Nguyen TVH, Pham C-K. An Overview of Phase-Locked Loop: From Fundamentals to the Frontier. Sensors. 2025; 25(18):5623. https://doi.org/10.3390/s25185623
Chicago/Turabian StyleNguyen, Thi Viet Ha, and Cong-Kha Pham. 2025. "An Overview of Phase-Locked Loop: From Fundamentals to the Frontier" Sensors 25, no. 18: 5623. https://doi.org/10.3390/s25185623
APA StyleNguyen, T. V. H., & Pham, C.-K. (2025). An Overview of Phase-Locked Loop: From Fundamentals to the Frontier. Sensors, 25(18), 5623. https://doi.org/10.3390/s25185623