Next Article in Journal
A Study on the Impermeability of Nanodispersible Modified Bentonite Based on Colloidal Osmotic Pressure Mechanisms and the Adsorption of Harmful Substances
Previous Article in Journal
An Approach Based on an Increased Bandpass for Enabling the Use of Internal Standards in Single Particle ICP-MS: Application to AuNPs Characterization
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

The 3D Controllable Fabrication of Nanomaterials with FIB-SEM Synchronization Technology

School of Physics, Beihang University, Beijing 100191, China
*
Authors to whom correspondence should be addressed.
Nanomaterials 2023, 13(12), 1839; https://doi.org/10.3390/nano13121839
Submission received: 15 May 2023 / Revised: 6 June 2023 / Accepted: 8 June 2023 / Published: 11 June 2023
(This article belongs to the Section Nanofabrication and Nanomanufacturing)

Abstract

:
Nanomaterials with unique structures and functions have been widely used in the fields of microelectronics, biology, medicine, and aerospace, etc. With advantages of high resolution and multi functions (e.g., milling, deposition, and implantation), focused ion beam (FIB) technology has been widely developed due to urgent demands for the 3D fabrication of nanomaterials in recent years. In this paper, FIB technology is illustrated in detail, including ion optical systems, operating modes, and combining equipment with other systems. Together with the in situ and real-time monitoring of scanning electron microscopy (SEM) imaging, a FIB-SEM synchronization system achieved 3D controllable fabrication from conductive to semiconductive and insulative nanomaterials. The controllable FIB-SEM processing of conductive nanomaterials with a high precision is studied, especially for the FIB-induced deposition (FIBID) 3D nano-patterning and nano-origami. As for semiconductive nanomaterials, the realization of high resolution and controllability is focused on nano-origami and 3D milling with a high aspect ratio. The parameters of FIB-SEM and its working modes are analyzed and optimized to achieve the high aspect ratio fabrication and 3D reconstruction of insulative nanomaterials. Furthermore, the current challenges and future outlooks are prospected for the 3D controllable processing of flexible insulative materials with high resolution.

1. Introduction

Generally, nanomaterials have a size ranging between 1 and 100 nanometers, or at least one dimension within the order of nanometer. As their characteristic size shrinks to the micro- and nano- scale, nanomaterials exhibit unique physical and chemical properties because of volume effects, surface interface effects, quantum size effects, and quantum tunneling effects. They show excellent performances in many fields due to their mechanical properties, special magnetic behavior, high electrical conductivity, and high catalytic reactivity [1,2], which make them popular in microelectronics, biology, chemical engineering, medicine, energy, aviation, and aerospace, etc. [3,4,5]. As the properties of nanomaterials depend on their 2D/3D structures, characteristic size, and surface morphology directly, producing high-quality micro–nano structures with a high throughput at low costs has become a hot issue in recent years [6,7].
Nanomaterials with specific structures and functions can be prepared through the methods of “bottom-up” and “top-down”. The “bottom-up” approach mainly includes self-assembly and dip-pen nanolithography (DPN), where atoms and molecules are used as basic units to assemble and build specific structures. Self-assembly [8] can process nanomaterials with high resolution and low cost, but it has poor control in terms of feature size and the synthesis procedure. DPN [9] can achieve micro–nano structures by transferring molecules from the atomic force microscope (AFM) tip to the substrate, but it is too slow for mass production and limited to a few specialized devices. On the other hand, the “top-down” approach involves essentially cutting the bulk material to achieve a progressively smaller structure with a higher controllability [10], which includes mask lithography, mask-less lithography, thin film technology, and nanoimprint lithography (NIL), etc. Mask lithography is a typical “top-down” method with high precision and controllability, which consists of optical exposure, ultraviolet (UV) lithography, X-ray lithography, and electron beam lithography (EBL). Mask-less lithography includes laser direct writing and focused ion beam (FIB) fabrication, etc. Thin film technology mainly involves physical vapor deposition (PVD), chemical vapor deposition (CVD), and electrochemical deposition (ECD). Normally, NIL [11] can be composed of the mask preparation and pattern transferring from the mask to the substrate. Among all the machining technologies of “top-down” approach, FIB has become popular for the high-precision fabrication of nanomaterials, with the advantages of having a high resolution and multi functions, as it can shorten the processing period and improve material utilization by avoiding a series of complex processes in mask lithography. Moreover, FIB is compatible with semiconductor techniques, which is helpful for the circuit integration, complexity, and miniaturization of microelectronic nanomaterials. In this paper, FIB-SEM synchronization technology is reviewed to show its capacity for realizing the 3D controllable high-resolution fabrication of nanomaterials from conductors to semiconductors and insulators.
This paper firstly describes FIB technology in detail, including ion optical systems, the three operating modes of FIB, and the combining equipment with other systems. Then, the progress of a FIB-SEM synchronization system to achieve 3D controllable fabrication of conductive, semiconductive, and insulative nanomaterials with a high precision is reviewed, especially in 3D FIB-induced deposition (FIBID) nano-patterning, nano-origami, 3D milling with a high aspect ratio and 3D reconstruction. Finally, the existing challenges and future trends are prospected for the 3D controllable fabrication of flexible insulative materials.

2. Introduction of FIB Technology

FIB was developed in the United States in the late 1970s with the invention of the liquid metal ion source (LMIS) [12]. From then on, FIB has developed by improving the optical systems, expanding its application, and integrating with other systems. In the late 1980s, the eutectic alloy ion source promoted the performance of FIB greatly and expanded its applications in microelectronics, material analysis, device characterization, and other fields [4,13,14,15]. In the 1990s [16], SEM was combined with FIB to reduce sample damage and obtain sample imaging with a higher resolution. In recent years, it has become an important tool in the 3D fabrication of nanomaterials, as it can realize in situ milling, deposition, and implantation with a high precision. The following will present the development of FIB in detail.

2.1. FIB Optical System

2.1.1. Ion Sources

As the origin of the ion beam, the performances of ion sources determine the current and spot of FIB at a sample directly. There are many parameters for characterizing this ion source, such as the emission type, brightness   β r , energy spread Δ E FWHM , source spot, and stability. Table 1 shows the performances of the current commercial ion sources. Among them, the LMIS gallium ion source is mostly used due to its low melting point (~30 °C), low volatility, low vapor pressure, high emission, and low surface free energy [17]. Compared with other ion sources, the Ga+ source has a relatively simple structure, as shown in Figure 1a. The tip is also called a Taylor tip, which is formed via ion emission from a liquid metal under the strong electric field. The Ga+ source can meet the requirements of submicron fabrication, as its source spot is about 50–100 nm, its brightness can reach 10 6   Am 2 sr 1 V 1 , and its emission is very stable. Generally, it can work at 3000 µA·h.
As for the gas field ionization source (GFIS) of lighter ion species, its brightness can be up to 10 9   Am 2 sr 1 V 1 with a smallest source spot of 1 nm, but it needs an ultra-high vacuum and low temperature conditions. GFIS uses a strong electric field to ionize gas atoms or molecules (Figure 1b). Instead of providing a Ga ion with a liquid metal storage tank, GFIS originates from an inert gas supply system. Furthermore, GFIS can produce various ions, such as He+ and Ne+, which expands its potential applications [18].
Taking advantages of high ionization, high beam strength, low working pressure, and high stability, plasma sources of heavier ion species (e.g., Xe+ and Ar+) have been developed recently for large-volume/large-area milling. There are two ways to obtain plasma sources with a large emission current. One is inductively coupled plasma (ICP) and the other is electron cyclotron resonance (ECR). Figure 1c shows the schematic diagrams of an ICP source, where radio frequencies (RF) are applied to external coils to create an azimuth-induced magnetic field for accelerating the plasma electrons to ionize the stored gas. This mechanism can be employed in various plasma gases (e.g., Xe+, Ar+ and O 2 + ) with a plasma density of 1 × 10 13   cm 3 (Xe+) and brightness of 1 × 10 4   Am 2 sr 1 V 1 [19]. ECR plasma sources can be achieved by heating working gases with a 2.45 GHz microwave for ionization (Figure 1d). The plasma density can achieve the order of 10 11   cm 3 , and the energy spread is ~5 eV [20].

2.1.2. Ion Optical Column

As shown in Figure 2, electrostatic units such as lenses and deflectors are chosen to accelerate, focus, and deflect the ion beam in a FIB micromachining system, as the mass of the ion is too large and magnetic units are not applicable. In the 1970s, the focusing system of a FIB was very single, with one lens primarily for ion imaging. It was developed to a two-stage lens system to obtain a higher resolution in the 1980s, which enlarged the FIB applications in micro-analyses, micro-machining, and material modification [15,21,22]. Compared to in-lens deflectors and post-lens deflectors, two pre-lens deflectors are mostly used to reduce the chromatic aberration, in combination with the dynamic focusing system and octupole stigmator [23]. For a FIB using the eutectic alloy ion source, an ion mass analyzer was developed to select the desired ions, such as Cobra E×B being used for a liquid metal alloy ion source (LAMIS) [24,25]. Since a gas injection system (GIS) was invented for a modern Ga LMIS FIB, the alloy ion source had become out of date.
Advances in the ion source and ion optical column have greatly improved the performance of FIB systems. For a modern commercial Ga LMIS FIB, the beam current ranges from several pA up to 100 nA and the beam diameter at the sample is less than 5 nm. The first commercial GFIS helium ion microscope (HIM) was launched by Zeiss in 2007, and it can realize an ultra-high processing resolution of 0.25 nm at the low current down to 0.1 pA [26,27]. Thermo Fisher SCIENTIFIC (Waltham, MA, USA) Xe (ICP)–FIB can now achieve a resolution of less than 20 nm (30 kV) at the coincidence for Helios 5 PFIB. Orsay physics released a Xe plasma source in 2011 and TESCAN (Brno, Czech Republic) launched the fourth generation FIB of Xe+ (ECR), achieving a resolution of 15 nm at 30 keV. Compared to Ga+ FIBs, He+ FIBs have better contrast [28] with less sample damage and a slower processing speed. Plasma source systems are well-suited for large-volume/large-area milling of up to hundreds of cubic microns [29,30], as their maximum probe current can reach 2 uA and they can process samples 50 times faster than Ga+ FIBs.

2.2. FIB Operating Modes

The nature of a FIB’s multi functions (milling, deposition, and implantation) originates from ion–sample interaction, where the collision process involves a series of physical and chemical reactions illustrated in Figure 3a and the collision depth depends mainly on the ion energy. The main reactions include: (1) the sputtering of neutral atomic or molecules (fragments or clumps) in the form of positive or negative ions, (2) ion implantation or energy deposition, (3) a crystallization change (surface atoms gain momentum and move from their original position, leaving vacancies), (4) secondary ion sputtering, (5) secondary electron emission (for each incident ion, a secondary electron yield is about 10–1000 times more than a secondary ion one [31]), (6) heating (usually diffusing radially, longitudinally, or at multiple angles from the point of incidence), (7) photo emission, (8) incident ion backscattering, and (9) chemical reactions that involve the breaking of chemical bonds, thereby dissociating gas molecules (this effect can be utilized during film deposition). Based on the ion–solid interaction, a FIB can achieve milling, deposition, and ion implantation, as shown in Figure 3b–d.

2.2.1. Milling

Ion beam milling is one of the most widely used methods for preparing nanomaterials with specific nanostructures and properties. The five-axis stage can control the angle and height of the sample movement, allowing for the precise preparation of simple 2D graphics to complex 3D structures. FIB milling has been widely applied in inorganic materials, conductors, earth materials, optical fiber materials, biology materials, etc [3,34,35,36]. The initial application of a FIB includes a microwire analysis and the repair of the integrated circuit (IC) by connecting or cutting the wire on the semiconductor chip. FIBs play a great role in failure analyses and the repair of an IC by reducing the failure rate of 3 × 10−9. The global FIB industry is expected to grow from $1.3 billion in 2023 to $1.8 billion in 2028, with a growth rate of 7.0% annually. IC failure analyses will be the fastest growing market during this forecast period. In 2021, Thermo Fisher Scientific launched the Helios 5 EXL Wafer DualBeam in order to meet the increasing failure analyses performed in the semiconductor field. Recently, great progress has been made in FIB fabrication with atom probe tomography (APT) [37] and the transmission electron microscope (TEM) sample preparation of inorganic materials, metal alloys, crystals, and polymers, etc. [38,39]. By integrating APT, a sharp tip with a radius of curvature less than 50 nm can be formed using a sample thinning technique and the polishing of constantly small beams [37]. As the available TEM sample thickness using a FIB ranges from the micrometer scale to less than 50 nm [15], a 1–2 keV low-energy Ga ion beam or low-energy Ar ion beam [40,41] will be needed to decrease the sample damage induced by a high energy ion beam. GIS has been shown to enhance FIB etching by using auxiliary gases [26], such as Cl2, I2, and XeF2, to react with sputtering atoms and form gaseous substances. Different substrate materials can have varying enhancement coefficients [42], so it is very important to choose a suitable GIS.

2.2.2. Deposition

FIBID is an additive manufacturing technique for nanomaterials that enables the direct writing of the various nanostructures grown on the substrate surface with the assisted precursors. The above auxiliary gases in FIB milling are replaced by the organic precursor of a metal or semiconductor, such as C2H5Pt(CH3)3 and W(CO)6 [43], which can realize the FIB-induced micro/nano scale deposition of most metals or semiconductors. GIS-assisted shape modification includes nanowires (NWs), nanocolumn, nano-tweezers, and so on [44], which have been applied in various fields, including IC repair, optical lithographic mask repair, and grown specific functional structures [32,36]. FIBID is widely used in the detection and repair of ICs. For example, Lee et al. [45] successfully repaired damage by depositing Au as a microcircuit on the fracture of the IC with the precursor C7H10AuF3O2. Specific functional structures are usually grown in the form of 1D NWs or 3D nanocolumns, nanopillars, and nanohelices, which have special mechanical or electrical properties [46,47].
Due to the comparatively large mass of a FIB, this inevitably results in sample amorphous ion implantation, and sputtering will happen with FIBID and side effects will appear. Normally, these side effects are useless. Now, researchers pay attention to find the novel electrical properties of these side effects that result from a combination of nanoparticles and impurities. For example, Luxmoore et al. [48] prepared FIBID-based W NWs on a SiO2 substrate (Figure 4a) and found that a single W NW superconducts at a temperature of 5.5 K.

2.2.3. Ion Implantation

Ion implantation is capable of changing surface compositions and structures to improve nanomaterials’ properties. The implantation depth can reach an average of 10 nm to 10 μm [49]. As shown in Figure 5, incident Ga+ and Ne+ with the same energy have different distributions in a SiO2 substrate. Ne+ ions are more concentrated in the range of about 10 nm below the surface, while Ga+ ions extend to a larger depth of approximately 20 nm due to their heavier mass. Ion implantation can be used in Raman enhancement, electrical conductivity, and the preparation of nanoscale quantum dots [47,50]. Because of these unique outstanding advantages, ion implantation has been widely used in semiconductor doping [51,52] and the surface modification of metals, ceramics, and polymers, etc. [53].
Ion implantation plays an important role in the preparation of opto-electronic devices by achieving good semiconductor doping with a sub-micron spatial precision. Deshpande et al. [55] investigated FIB-induced gallium doping on shallow silicon p-n junction devices in the micron-scale region and studied radiation damage, surface amorphous layer, and photoelectric performance. They concluded that formation of amorphous silicon layers can reduce the photoelectric performance of the diode. Phan et al. [52] obtained locally amorphous p-type silicon NWs that were thermally annealed at 700 °C through ion implantation and wet etching, and discussed the piezoresistive effect, which would accelerate the development of nano-electromechanical system (NEMS) sensors. Garg et al. [56] prepared 3D suspended micro–nanostructures of Si with a high aspect ratio (≈625) and small diameter (~31 nm), and they found that ion implantation caused the most amorphous properties in the prepared nanostructures.
Ion implantation has also been widely applied in the surface modification of nanomaterials. McKenzie et al. [57] discovered a 35 nm amorphous carbon layer and that the critical dose for amorphization of the diamond surface is 2 × 1014 Ga+/cm2. With higher doses, the amorphous layer can swell to 31% of its original volume and hold large amounts of gallium. Rubanov et al. [58] utilized a high-pressure, high-temperature (HPHT) annealing technique to graphitize the implantation layer in diamond by 30 keV Ga+ FIB. Wei et al. [59] prepared ordered 25–70 nm Ga nano quantum dots on a GaAs substrate without supplied gas. Furthermore, ion implantation can be also used for AFM tip preparation. Hu et al. [60] reported the first nanosphere probe manufactured by He+ implantation for accurately measuring the interface ranging from nanometers to microns, which would solve the technical bottleneck in nano-tribology.

2.3. FIB Equipment with Other Systems

As the mass of an ion is very large, some problems appear, such as a lower imaging resolution than SEM and sample damage, especially in crystal surfaces, existing in a single FIB system. In order to solve the above problems, other systems were induced to form dual-beam and multi-beam equipment.

2.3.1. FIB-SEM Dual-Beam System

Together with the in situ and real-time monitoring of SEM images, a FIB-SEM synchronization system maximizes the advantages of both ion and electron beams. As for the current SEM with Schottky Field Gun, it can last for 2000 h. Normally, a FIB-SEM synchronization system in a lab can work for more than 2 years without changing the ion source or the cathode. Two optical cylinders are typically connected at an angle of 50–60°, as shown in Figure 6. SEM can not only in situ monitor, but also neutralize ions to reduce the charge accumulation during FIB processing [61]. Moreover, 3D reconstructions can be performed by combing FIB repeatedly cutting cross-sections with SEM real-time imaging and the image processing software. Recent advances in FIB-SEM have enabled 3D reconstruction at a glancing angle, reducing image deformity due to tilt and providing more accurate representations of material structures. It has been successfully applied to the volume distribution of carbide in steel, crack tip analyses, the orthogonal cross-section characterization of tensile materials, carbon-based materials, bone hierarchical structures, proton exchange membrane (PEM) fuel cells, and so on [35,62]. Plasma FIB-SEM has emerged as a promising method for large-area milling, high-flux preparation with a low implantation layer, and high-throughput cryo-electron tomography. The use of plasma sources (e.g., Ar+ and Xe+) can achieve the 3D reconstruction of a larger area and volume of biomaterials [63,64]. Additionally, FIB-SEM is widely used in the characterization of material composition and 3D microanalyses, by combining with a mass spectrometer [65,66].
The traditional preparation methods for bulk TEM samples are mechanical thinning, electrolytic polishing, and the ion milling technique [15], and their low success rate limits the application of TEM. FIB technology effectively improves the success rate of TEM sampling up to 95% by combining real-time SEM monitoring and a nanomanipulator [67]. Combining with thinning technology, the quality of TEM sample preparation can be further improved by choosing a lower FIB energy, a low-voltage and low-angle broad ion beam, and plasma FIB-SEM [68]. Additionally, an optional TEM column can be mounted to realize in situ TEM observation. An improved STEM/TEM alloy sample can be achieved using Xe+ plasma FIB milling with less damage during ion implantation [69]. For ceramic materials with a high strength, high melting point, and poor toughness, ion beam thinning may cause damage such as cracks or holes, which will be exacerbated with heat accumulation on the surface. Therefore, it is necessary to thin the sample at a low rate with a low incidence angle and the appropriate ion energy (varying from material to material) [70].
In situ performance measurements can also be achieved by combining FIB-SEM with other attachments to fully understand and master the properties of nanomaterials. Micro heating plate devices can provide a stable and controllable environment for investigating materials at different temperatures, allowing for in situ observations of the thermal behavior, phase transformations, and crystallography and composition changes [71]. High density and uniformity in material preparations such as metals, ceramics, and composite materials can be obtained using in situ spark plasma sintering (SPS) technology with uniform heating and rapid cooling. The mechanisms of phase evolution during the sintering process can be achieved by integrating in situ SPS technology [72]. Combined with electron beam (EM) technology, the range of FIB applications has been greatly expanded for micro–nano electromechanical systems (MEMS/NEMS), site-specific device production, IC repairment, and complex TEM sample preparation [3,15,39,73]. In early FIB-SEM systems, FIB and SEM worked by switching, as the magnetic field of the SEM objective lens would affect the performance of the FIB greatly. A FIB-SEM synchronization system was developed by solving the magnetic leakage and it could realize the in situ and real-time high-resolution monitoring of FIB fabrication.

2.3.2. Multi-Beam System

Based on the FIB-SEM dual-beam system, a multi-beam system has been developed to reduce sample damage. The triple-beam system of FIB-SEM-Ar can switch in different multiple ion sources, as shown in Figure 7a. Hitachi (Tokyo, Japan) released the NX9000 with a selective add-on Ar ion column on FIB(Ga)-SEM in 2012, which effectively reduces this sample damage, as the damage thickness is only 2 nm for Ar+ instead of 10–30 nm for Ga+. Triple-beam technology realizes a continuous operation from high-precision FIB processing to Ar ion beam “cleaning” on one instrument, and greatly improves the sample preparation accuracy and reliability [74].
To further enhance the processing capacity of FIBs, Carl Zeiss implemented a multi-beam ion microscope named ORION NanoFab based on HIM, which integrated Ga, Ne, and He ion sources, as shown in Figure 7b. He and Ne share the same ion optical column to achieve fast switching. The nominal probe sizes of Ga+, Ne+, and He+ are 3.0, 1.9, and 0.5 nm, respectively [76]. ORION NanoFab has been successfully applied in magnetic materials, biology materials, and insulative materials, which has obtained graphene with direct-write features as small as 5 nm [77], surface plasma antennas with a tip gap of 4 nm [78], and line patterns with the line edge of 1.81 ± 0.06 nm and the width roughness of 2.90 ± 0.06 nm on Ni-based metal−organic clusters [79].
Compared to multi-beam systems, FIB-SEM dual-beam systems are more affordable. With advantages of a high precision, multi functions, and in situ real-time monitoring, FIB-SEM synchronization systems are expected to achieve the 3D controllable fabrication of nanomaterials.

3. 3D Controllable Fabrication of Nanomaterials with FIB-SEM

Based on the ion–solid interaction, the processing properties can be affected by the parameters of the incident beam and materials such as the ion energy, ion type, beam current, ion dose, dwell time, scanning strategy, material type, and composition. Simulating and optimizing these parameters with a computer is necessary for achieving a faster and more accurate fabrication of nanomaterials. The Monte Carlo (MC) simulation method is effective for evaluating these processing properties [33,80], which is based on the statistical law. At present, most MC simulations in FIBs can be realized using commercial software such as the Stopping and Range of Ions in Matter (SRIM) proposed by Ziegler et al. [81], TRINDY and TRI3DYN for a 1D layered dynamic model and 3D path simulations [82,83], SDTrimSP with 2D and 3D surface morphologies being considered [84], and EnvizION with FIB milling damage, secondary electron emission, and gas-assisted etching for Ga+, He+, Ne+ in Cu, W, and SiO2 [54,85,86,87,88,89]. Recently, artificial intelligence (AI) algorithms have provided guidance for selecting the parameters in pre-processing complex patterns with a >96% accuracy [90].
Now, FIB application ranges have expanded from conductors (>105 s/m) to semiconductors (10−7–105 s/m) and insulators (<10−7 s/m). When high-energy charged particles bombard samples, the sample conductivity may cause a local charge accumulation and affect the final fabrication, especially for insulative nanomaterials. The 3D controllable fabrication of conductive, semiconductive, and insulative nanomaterials using a FIB-SEM synchronization system is given in the following section.

3.1. Conductive Materials

Most conductive materials have a high conductivity above 105 s/m; thus, local sample charging can be neglected. Under the in situ real-time monitoring of high-resolution SEM, FIB parameters such as the ion species, energy, beam current, dwell time, and scanning strategy can be adjusted to achieve the 3D high-precision controllable fabrication of conductive nanomaterials. Their typical applications are described, including FIBID 3D nano-patterning (nanoelectrode, nanogap electrodes, and specific structures) and FIB milling (nano-origami).
Nanoelectrodes can be prepared using FIBID-based deposited metal, which plays an important role in the measurements of electrical properties. Wu et al. [91] fabricated nanodevices with He+ FIB and Ne+ FIB for temperature-dependent electrical conductivity measurements, and they found that a different resistivity could be obtained by choosing a different FIB incident beam (He+ and Ne+), as shown in Figure 8a. Shukla et al. [92] succeeded in obtaining a faster-response Pt-W nanothermocouple by optimizing the FIB parameters to monitor the local temperature increase in a SiO2 substrate (Figure 8b). The nanothermocouple would react when the local temperature rose by 50 °C under 0.3 mW (30 kV, 10 pA) FIB irradiation. In Figure 8c, Cui et al. [93] grew Pt NWs on a freestanding functional entity using thermal annealing, then fabricated the nanocages of these Pt NWs by controlling their bending angle, with the growth parameters, annealing temperature, and annealing duration/cycle being adjusted. These nanocages could confine ZnO tubs, which show a great potential in the nanofabrication of large-area 3D functional devices for micro/nano object fixation.
Nanogap electrodes are an essential component in molecular device assembly, which are widely used in the fields of nanophotonics and nanoelectronics [32,47]. The width of nanogap electrodes can affect the amplified signals of sensors and demands for a higher controllability in fabrication. For example, Cui et al. [94] prepared suspended gold wires using electron beam mask lithography and then used FIB milling to create Au nanogap electrodes, as shown in Figure 9a. A small gap width of 4.6 nm was achieved by adjusting the FIB-SEM parameters. In Figure 9b, Wen et al. [4] deposited Pt electrodes and tuned the nanogap width using substrate swelling induced by He+ implantation. They were able to regulate the nanogap width to as small as 4 nm by increasing the ion doses.
Controllable FIBID 3D nano-patterning plays an important role in the preparation of specific structures and functional devices for optical and electrical applications. Wagner et al. [95] grew FIBID-based 3D multipod nanostructures by regulating the substrate temperature with a homemade Peltier stage. They found that FEBID at a low substrate temperature was not only faster, but also suited for high-fidelity 3D printing. Esposito’s team [96] prepared and studied the proximity and charge surface of chiral metallic nanospirals. This method has a high flexibility for the controllability of the geometry, array density, and size. Additionally, they also prepared 3D chiral plasmonic helices structures (see Figure 10a,b) by depositing Pt on a GaN/AlGaN substrate under different beam energies and step sizes [97]. They observed a highly selective dichroic band shifted toward shorter wavelengths with a maximum dissymmetry factor of up to 26% in the visible range. Cordoba et al. [98] deposited several types of W-C nanohelices by varying the diameter and beam dwell time of He+ FIB in 3D nanostructures (Figure 10c) with a fine superconductivity, high critical magnetic field, and current density. The nanohelices had dimensions of 100 nm in diameter and a high aspect ratio of up to 65.
Recently, a new technique for deforming nanomaterials called nano-origami was developed by combining FIB milling and ion beam irradiation [99]. This one-step, high-precision, micro–nano on-chip machining technique involves cutting and folding. Cutting is achieved through ion beam milling patterns and folding is realized by bending and twisting with ion beam irradiation, either locally or globally. Nano deformation (folding) is affected by the acceleration voltage, irradiation dose, and topological appearance of the material itself (Figure 11a,b). By adjusting these parameters, researchers have successfully prepared controllable 3D micro/nano functional structures with unique optical properties, such as an ultra-optical chirality, surface diffraction, phase and polarization regulation, and a photon spin Hall effect, as shown in Figure 11c–f [100,101,102]. This method shows potential for micro/nano photonic devices, microelectronics, MEMS, biomedicine, and other fields. For example, Liu et al. [100] prepared asymmetric 3D plasmonic structures with asymmetric split-ring resonators (SRRs) on a gold film (80 nm thick), which showed three Fano resonances. Nano-origami is suited for materials with a good conductivity, such as metal materials (e.g., Au, Al, and Ag) and semiconductors (e.g., Si, Si3N4, and graphene) [103,104].

3.2. Semiconductive Materials

Semiconductive materials usually have a conductivity of 10−7–105 s/m, and an incident high-energy density FIB may cause local charging at their surface. Local sample charging can be greatly reduced by coating a conductive layer of Au/C, being transferred by the manipulator, and being neutralized with an electron beam [61]. Here, we will focus on the 3D controllable fabrication of semiconductive materials using FIB-induced deposition and FIB milling in nano-origami and high-aspect-ratio structures.
FIB-induced deposition plays an irreplaceable role in repairing optical and electronic devices. In general, different semiconductor materials of SiO2 and GaN can be deposited by choosing different precursors. Precursors such as tetramethylcyclotetrasiloxane (TMCTS), octamethyltetracyclosiloxane (OMCTS), pentamethylcyclopentasiloxane (PMCPS), dodecamethylpentasiloxane (DMPS), and tetraethoxysilane (TEOS) can be used for SiO2 deposition, while GaH3:NC7H14 can be used for GaN deposition [33]. Through controlling the gas density and FIB working parameters, Okada et al. [107] achieved a TEOS-induced deposition of SiOx at the 30 nm level to repair sag damage in UV mask plates.
For FIB milling, the 3D controllable preparation of nanostructures and devices with specific functions greatly promotes their applications in MEMS/NEMS, nano-optics, micro-energy, and other fields. Gorkunov et al. [108] prepared 3D structures on a 300 nm thin film of a monocrystalline epitaxial silicon on sapphire (Figure 12a,b) with a chiral nanoscale relief, by controlling the FIB scanning trajectory with the digital templates. The chiral metasurface structures showed a high transmittance (50–80%) with a circular dichroism of up to 0.5 and an optical activity of up to 20° in the visible range. Drezner et al. [109] fabricated the same structures on a (001) Si single crystal under a series of doses and measured the radius of the amorphization caused by a 30 kV Ga+ FIB. They found that the beam tail would influence the amorphization region for doses above 1018 ions/cm2. The amorphous damage caused by the beam tail could be reduced by using an appropriate dosage, thus achieving high-quality controllable fabrication. More applications of 3D controllable milling are shown in Table 2. Additionally, nano-origami can be achieved by combining FIB milling with ion irradiation. Liu et al. [110] prepared a 3D toroidal metamaterial with a SiNx sheet, whose side length was 1.7 μm and thickness was 100 nm (Figure 12c,d). High-quality factor toroidal resonance can be achieved using FIB-SEM synchronization technology, which shows its flexibility and nanoscale controllability for structure size, position, and direction, especially for 3D metamaterials.
Semiconductor doping can modify semiconductors on the atom scale, which has been fully applied in optoelectronic device preparation with enhanced properties, such as NEMS sensors, photonics devices, sensors, and resonators. Liao et al. [117] modified 1D ZnO NWs field-effect transistors (FET) with a Ga+ treatment (Figure 13a). After 30 kV Ga+ irradiation, the switching ratio was improved by several orders of magnitude (Figure 13b) due to a decrease in the surface-trapped electrons and their concentration in the carrier. Nanda et al. [51] studied 2D BN encapsulated graphene (h-BN) and found that it exhibited n type conduction by controlling He+ irradiation. This was because the self-healing of the beam-induced lattice damage was promoted by unbound atoms between the sp2 layers of the graphene and h BN. Recently, Liu et al. [118] constructed vertical heterostructure WSe2/graphene (W/G) and found that its optical response could be enhanced by controlling the formation of point defects with Ga+ irradiation, as shown in Figure 13c,d. The fastest photo responsivity was about 0.6 ms, two orders of magnitude greater than that of pristine W/G. This provided an effective method for optimizing the performance of photoelectric devices based on vertical heterostructures.
FIB micromilling is also used to fabricate materials with complex 3D and high-aspect-ratio structures. Micro holes have shown potential in electrical devices, micro-fluidic devices, MEMS devices, chemical analyses in biochemistry, and so on [119]. A controllable 3D structure can be attained by adjusting the FIB-SEM parameters of acceleration voltage, dwell time, milling mode, pitch pixels, and sputtering yield, etc. Ishikawa et al. [120] fabricated a nanocell lattice with a high aspect ratio of two (cell height/cell diameter) on an InSb semiconductor surface (Figure 14a,b). They also found that the intermediate flux ion irradiation during a bottom-up process needs to be optimized for a high-aspect-ratio nanocell and it can be regulated by ion doses (Figure 14c).

3.3. Insulative Materials

Different from conductive and semiconductive materials, most insulative materials have a conductivity as low as 10−7 s/m. When a high-energy FIB bombards insulative materials, local charge accumulation will destroy the controllability of the FIB-SEM fabrication, especially on the sample surface, which makes SEM images fuzzy and distorted during the process of FIB writing [121]. In general, this local charge accumulation can be reduced by choosing a low current or coating an Au/Pt conductive layer on the samples [122]. However, it is impossible to guide all the local charges away, and the above methods may be of little use once the parameters of the incident beam are changed. Another way is to adjust the parameters of FIB and SEM to obtain the optimum secondary signals for fine SEM imaging [123]. In the following section, the 3D, high-precision, controllable fabrication of insulative materials mainly focuses on FIB milling in high-aspect-ratio structures, curved surfaces, and tomography.
Polymethyl methacrylate (PMMA) is taken as an example for studying a high-aspect-ratio structure milled by a FIB. PMMA has advantages of a high transparency, light weight, high mechanical strength, and easy processing, which makes it an ideal substrate for optical devices [124] and wearable electronic devices. High-precision machining based on PMMA with FIB-SEM can be achieved by adjusting the beam parameters such as the beam current, scanning strategy, and dwell time [125]. Her et al. [126] fabricated angled nano-scale tunnels with a high aspect ratio on PMMA (Figure 15), achieving a high aspect ratio of 700–1500 nm in the depth and 60 nm in the mean diameter with a 5 kV Ga+ ion beam and specific ion beam current. These structures show potential for creating a mold for anisotropic adhesives. Additionally, Gorelick et al. [127] fabricated nanostructures with high aspect ratios (>11) on thick PMMA resist (~1 µm) for applications in X-ray optical devices.
Great progress has been made in controllable preparation on the unconventional curved surfaces of optical fiber nanomaterials with a high precision, which extends FIB-SEM synchronization systems in various fiber-optic devices for near-field scanning optical microscopy (NSOM) fiber probes, plasmonic nano-arrays, and beam-shaping structures [36,128]. Li et al. [129] milled 970 nm diameter nanofiber-based optical cavities by controlling the scanning strategy precisely to obtain complex nanostructures (Figure 16). Kim et al. [130] obtained a fiber-optic localized surface plasmon resonances (LSPR) sensor using a combination of FIB milling and deposition technology. A gold film was deposited on the tip of a multimode optical fiber, then a nanodisk of a 66 × 66 array was prepared in a gold film patterned through FIB milling with an array gap of 200 nm.
The usage of the 3D controllable fabrication of FIB milling in tomography has been developed, which combines FIB layer-by-layer material removal at the atomic level and SEM imaging to reconstruct volumetric structures in 3D [62,131]. Generally, a lower beam current (0.15–1.5 nA) is used to depose a protective layer of Pt, C, or Au on the sample, then a higher beam current (15–45 nA) is chosen to mill the materials. Furthermore, FIB fabrication can be improved by polishing the sample surface with a low-energy and low-current beam to solve the uneven milling induced by the FIB beam tail [132]. At present, the 3D imaging of biomaterials at the nanoscale is a hotspot in nanobiology, and FIB-SEM synchronization technology contributes greatly to the 3D reconstruction of relatively large biomaterials with micrometer-scale thicknesses, such as entire cells or tissues. Heymann et al. [133] first reported the 3D volume reconstruction of biological specimens for yeast cells. Trebichalská et al. [134] reconstructed an unprecedented view of ooplasmic architecture and observed organelle distribution patterns in nine donor oocytes’ developmental competence. 3D image segmentation was performed to extract information. Xu et al. [135] expanded the image volume of FIB-SEM by more than four orders of magnitude, from 103 µm3 to 3 × 107 µm3, by choosing the enhanced FIB-SEM mode. Berger et al. [14] used an Ar plasma source to prepare slices with a success rate of 85% and provided a new way of obtaining a higher throughput 3D characterization of biomaterials with a pseudo-atomic resolution of 4.9 Å. FIB-SEM tomography also shows potential in the 3D reconstruction of porous materials with a controllable morphology and internal pore distributions. For example, Röding et al. [136] optimized the milling and imaging parameters for the soft porous polymer of ethyl cellulose films with a poor conductivity, and obtained an automatically segmented structure with a porosity as good as that of a manually segmented structure. Papynov et al. [72] reconstructed magnetic hematite structured porous ceramics using the SPS technique and obtained high-compactness ceramics with macropores of a 680–700 nm mean size.

4. Conclusions and Outlook

In this paper, we provided an overview of FIB technology, including its ion optical system, three operating modes, and FIB equipment combined with other systems in detail. The 3D, high-precision, controllable fabrication of conductive, semiconductive, and insulative materials was achieved with a FIB-SEM synchronization system by optimizing and modulating the FIB-SEM parameters and scanning modes. With the development of FIB-SEM synchronization technology, there still exists challenges in the 3D, controllable processing of flexible insulative materials using FIB-SEM with a high resolution.
Except for the low conductivity presented in the paper, most flexible insulative materials have a low melting point, and a poor thermal conductivity usually with 0.01–0.1 W/(m·K), and hence severe damages such as shadowing effects on the cross-sectional surface, artefacts including curtaining and redeposition, local charging, low contrast, and sample heating will occur when a high-energy FIB bombards them. These factors need to be considered to attain the 3D, controllable processing of insulative materials with a higher resolution. In order to reduce the thermal damage, Bassim et al. [121] suggested coating Au/Pt as a radiator, but this method could only reduce the thermal effect to a certain extent and did not fully solve the rising temperature issue during the processing of polymers. Lee et al. [137] adopted an expensive, low-temperature sample stage to solve the thermal damage problem under a large beam current (~1000 pA), which protected an area of 200 nm from the ion beam bombardment at −25 °C, using a 30 keV 1000 pA Ga+ beam. Artefacts are inevitable due to ion beam implantation, which are dependent on the multiple parameters of ion species, ion energy, ion beam current, scanning strategy, dwell time, and sample composition, etc. Ar+ cleaning can only solve artefacts partly, by removing the degraded layer. In future, to realize the controllable, high-resolution, 3D fabrication of flexible insulative materials, a new dynamic model of dual-beam simultaneous fabrication with a rising temperature and local charge accumulation needs to be built for obtaining a relationship between the simultaneous beam, sample temperature, local charge accumulation field, and machining precision.

Author Contributions

Conceptualization, L.Z. and W.L.; investigation, L.Z., J.L. and Y.X.; writing—original draft preparation, L.Z.; writing—review and editing, L.Z., J.L., Y.X., W.L. and J.Z.; supervision, Y.C., W.L. and J.Z. All authors have read and agreed to the published version of the manuscript.

Funding

This research was funded by the National Natural Science Foundation of China-Yunan Joint Fund (Grant No. U2102215).

Data Availability Statement

This is a review paper not offering any original data.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Tan, C.; Cao, X.; Wu, X.-J.; He, Q.; Yang, J.; Zhang, X.; Chen, J.; Zhao, W.; Han, S.; Nam, G.-H.; et al. Recent Advances in Ultrathin Two-Dimensional Nanomaterials. Chem. Rev. 2017, 117, 6225–6331. [Google Scholar] [CrossRef] [PubMed]
  2. Baig, N.; Kammakakam, I.; Falath, W. Nanomaterials: A review of synthesis methods, properties, recent progress, and challenges. Mater. Adv. 2021, 2, 1821–1871. [Google Scholar] [CrossRef]
  3. Orús, P.; Sigloch, F.; Sangiao, S.; De Teresa, J.M. Superconducting materials and devices grown by focused ion and electron beam induced deposition. Nanomaterials 2022, 12, 1367. [Google Scholar] [CrossRef] [PubMed]
  4. Wen, X.; Mao, R.; Hu, H. 3-D Nanofabrication of Silicon and Nanostructure Fine-Tuning via Helium Ion Implantation. Adv. Mater. Inter. 2022, 9, 210164. [Google Scholar] [CrossRef]
  5. Gu, P.; Zhang, W.; Zhang, G. Plasmonic Nanogaps: From Fabrications to Optical Applications. Adv. Mater. Interfaces 2018, 5, 1800648. [Google Scholar] [CrossRef]
  6. Tseng, A.A.; Notargiacomo, A. Nanoscale Fabrication by Nonconventional Approaches. J. Nanosci. Nanotechnol. 2005, 5, 683–702. [Google Scholar] [CrossRef]
  7. Melzer, J.E.; Mcleod, E. 3D Nanophotonic device fabrication using discrete components. Nanophotonics 2020, 9, 1373–1390. [Google Scholar] [CrossRef]
  8. Makey, G.; Galioglu, S.; Ghaffari, R.; Engin, E.D.; Yıldırım, G.; Yavuz, Ö.; Bektaş, O.; Nizam, Ü.S.; Akbulut, Ö.; Şahin, Ö.; et al. Universality of dissipative self-assembly from quantum dots to human cells. Nat. Phys. 2020, 16, 795–801. [Google Scholar] [CrossRef]
  9. Liu, G.; Petrosko, S.H.; Zheng, Z.; Mirkin, C.A. Evolution of Dip-Pen Nanolithography (DPN): From Molecular Patterning to Materials Discovery. Chem. Rev. 2020, 120, 6009–6047. [Google Scholar] [CrossRef]
  10. Erdmann, A.; Xu, D.; Evanschitzky, P.; Philipsen, V.; Luong, V.; Hendrickx, E. Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography. Adv. Opt. Technol. 2017, 6, 187–201. [Google Scholar] [CrossRef]
  11. Liu, Z.; Liu, N.; Schroers, J. Nanofabrication through Molding. Prog. Mater. Sci. 2022, 125, 100891. [Google Scholar] [CrossRef]
  12. Orloff, J. High-resolution focused ion beams. Rev. Sci. Instrum. 1993, 64, 1105–1130. [Google Scholar] [CrossRef]
  13. Priebe, A.; Michler, J. Review of Recent Advances in Gas-Assisted Focused Ion Beam Time-of-Flight Secondary Ion Mass Spectrometry (FIB-TOF-SIMS). Materials 2023, 16, 2090. [Google Scholar] [CrossRef] [PubMed]
  14. Berger, C.; Dumoux, M.; Glen, T.; Yee, N.B.-y.; Mitchels, J.M.; Patáková, Z.; Darrow, M.C.; Naismith, J.H.; Grange, M. Plasma FIB milling for the determination of structures in situ. Nat. Commun. 2023, 14, 629. [Google Scholar] [CrossRef] [PubMed]
  15. Zhang, Z.; Wang, W.; Dong, Z.; Yang, X.; Liang, F.; Chen, X.; Wang, C.; Luo, C.; Zhang, J.; Wu, X.; et al. The Trends of In Situ Focused Ion Beam Technology: Toward Preparing Transmission Electron Microscopy Lamella and Devices at the Atomic Scale. Adv. Electron. Mater. 2022, 8, 2101401. [Google Scholar] [CrossRef]
  16. Orloff, J.; Utlant, M.; Swanson, L. High Resolution Focused Ion Beams: FIB and Its Applications; Kluwer Academic/Plenum Publishers: New York, NY, USA, 2003. [Google Scholar]
  17. Stevie, F.; Giannuzzi, L.; Prenitzer, B. The Focused Ion Beam Instrument. In Introduction to Focused Ion Beams: Instrumentation, Theory, Techniques and Practice; Springer Science & Business Media: Berlin, Germany, 2005; pp. 1–12. [Google Scholar]
  18. Smith, N.S.; Notte, J.A.; Steele, A.V. Advances in source technology for focused ion beam instruments. MRS Bull. 2014, 39, 329–335. [Google Scholar] [CrossRef] [Green Version]
  19. Smith, N.S.; Skoczylas, W.P.; Kellogg, S.M.; Kinion, D.E.; Tesch, P.P.; Sutherland, O.; Aanesland, A.; Boswell, R.W. High brightness inductively coupled plasma source for high current focused ion beam applications. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom. 2006, 24, 2902–2906. [Google Scholar] [CrossRef] [Green Version]
  20. Liu, Z.; Sun, G.; Zhang, D.; Chen, J.; Zhong, G.; Chuan, G. Characteristics of an electron cyclotron resonance plasma source. Nucl. Tech. 2000, 23, 707–712. [Google Scholar]
  21. Prosa, T.J.; Larson, D.J. Modern Focused-Ion-Beam-Based Site-Specific Specimen Preparation for Atom Probe Tomography. Microsc. Microanal. 2017, 23, 194–209. [Google Scholar] [CrossRef]
  22. Lee, H.-T.; Kim, H.-J.; Kim, C.-S.; Gomi, K.; Taya, M.; Nomura, S.; Ahn, S.-H. Site-specific characterization of beetle horn shell with micromechanical bending test in focused ion beam system. Acta Biomater. 2017, 57, 395–403. [Google Scholar] [CrossRef]
  23. Li, W.-P.; Han, L.; Gu, W.-Q. Design of practical deflection field in nanometer-scale focused ion beam system. Nucl. Instrum. Methods Phys. Res. Sect. A Accel. Spectrometers Detect. Assoc. Equip. 2007, 579, 937–940. [Google Scholar] [CrossRef]
  24. Nee, A.Y.C. Manufacturing Engineering and Technology; Springer: Berlin/Heidelberg, Germany, 2014. [Google Scholar]
  25. Klingner, N.; Hlawacek, G.; Mazarov, P.; Pilz, W.; Meyer, F.; Bischoff, L. Imaging and milling resolution of light ion beams from helium ion microscopy and FIBs driven by liquid metal alloy ion sources. Beilstein J. Nanotechnol. 2020, 11, 1742–1749. [Google Scholar] [CrossRef] [PubMed]
  26. Belianinov, A.; Burch, M.J.; Kim, S.; Tan, S.; Hlawacek, G.; Ovchinnikova, O.S. Noble gas ion beams in materials science for future applications and devices. MRS Bull. 2017, 42, 660–666. [Google Scholar] [CrossRef]
  27. Stanford, M.G.; Lewis, B.B.; Mahady, K.; Fowlkes, J.D.; Rack, P.D. Review Article: Advanced nanoscale patterning and material synthesis with gas field helium and neon ion beams. J. Vac. Sci. Technol. B 2017, 35, 030802. [Google Scholar] [CrossRef] [Green Version]
  28. Bassim, N.; Scott, K.; Giannuzzi, L.A. Recent advances in focused ion beam technology and applications. MRS Bull. 2014, 39, 317–325. [Google Scholar] [CrossRef] [Green Version]
  29. Burnett, T.L.; Kelley, R.; Winiarski, B.; Contreras, L.; Daly, M.; Gholinia, A.; Burke, M.G.; Withers, P.J. Large volume serial section tomography by Xe Plasma FIB dual beam microscopy. Ultramicroscopy 2016, 161, 119–129. [Google Scholar] [CrossRef]
  30. Burnett, T.L.; Winiarski, B.; Kelley, R.; Zhong, X.L.; Boona, I.N.; McComb, D.W.; Mani, K.; Burke, M.G.; Withers, P.J. Xe+ Plasma FIB: 3D Microstructures from Nanometers to Hundreds of Micrometers. Microsc. Today 2016, 24, 32–39. [Google Scholar] [CrossRef] [Green Version]
  31. Nezri, E.; Orloff, J. Neutrino Oscillations v.s. Leptogenesis in SO(10) Models. J. High Energy Phys. 2003, 2003, 1–20. [Google Scholar] [CrossRef] [Green Version]
  32. Li, P.; Chen, S.; Dai, H.; Yang, Z.; Chen, Z.; Wang, Y.; Chen, Y.; Peng, W.; Shan, W.; Duan, H. Recent advances in focused ion beam nanofabrication for nanostructures and devices: Fundamentals and applications. Nanoscale 2021, 13, 1529–1565. [Google Scholar] [CrossRef]
  33. Kim, C.-S.; Ahn, S.-H.; Jang, D.-Y. Review: Developments in micro/nanoscale fabrication by focused ion beams. Vacuum 2012, 86, 1014–1035. [Google Scholar] [CrossRef]
  34. Moussaoui, H.; Laurencin, J.; Gavet, Y.; Delette, G.; Hubert, M.; Cloetens, P.; Le Bihan, T.; Debayle, J. Stochastic geometrical modeling of solid oxide cells electrodes validated on 3D reconstructions. Comput. Mater. Sci. 2018, 143, 262–276. [Google Scholar] [CrossRef]
  35. Shojaeefard, M.H.; Molaeimanesh, G.R.; Nazemian, M.; Moqaddari, M.R. A review on microstructure reconstruction of PEM fuel cells porous electrodes for pore scale simulation. Int. J. Hydrogen Energy 2016, 41, 20276–20293. [Google Scholar] [CrossRef]
  36. Sloyan, K.; Melkonyan, H.; Apostoleris, H.; Dahlem, M.S.; Chiesa, M.; Al Ghaferi, A. A review of focused ion beam applications in optical fibers. Nanotechnology 2021, 32, 472004. [Google Scholar] [CrossRef] [PubMed]
  37. Barroo, C.; Akey, A.J.; Bell, D.C. Atom Probe Tomography for Catalysis Applications: A Review. Appl. Sci. 2019, 9, 2721. [Google Scholar] [CrossRef] [Green Version]
  38. Nagase, T.; Gamo, K.; Kubota, T.; Mashiko, S. Maskless fabrication of nanoelectrode structures with nanogaps by using Ga focused ion beams. Microelectron. Eng. 2005, 78–79, 253–259. [Google Scholar] [CrossRef]
  39. Tai, K.; Li, Y.; Liu, S.; Guo, Z.; Li, C.; Du, L.; Zhao, S.; Li, X.; Liu, J. In Situ FIB-TEM-TOF-SIMS Combination Technique: Application in the Analysis of Ultra-Light and Trace Elements in Phyllosilicates. Minerals 2022, 12, 562. [Google Scholar] [CrossRef]
  40. Giannuzzi, L.A.; Geurts, R.; Ringnalda, J. 2 keV Ga+ FIB Milling for Reducing Amorphous Damage in Silicon. Microsc. Microanal. 2005, 11, 828–829. [Google Scholar] [CrossRef] [Green Version]
  41. Kato, N.I. Reducing focused ion beam damage to transmission electron microscopy samples. J. Electron Microsc. 2004, 53, 451–458. [Google Scholar] [CrossRef]
  42. Frey, L.; Lehrer, C.; Ryssel, H. Nanoscale effects in focused ion beam processing. Appl. Phys. A 2003, 76, 1017–1023. [Google Scholar] [CrossRef]
  43. Fernández-Pacheco, A.; Teresa, J.M.D.; Córdoba, R.; Ibarra, M.R. Metal-insulator transition in Pt-C nanowires grown by focused-ion-beam-induced deposition. Phys. Rev. B 2009, 79, 174204. [Google Scholar] [CrossRef]
  44. Matsui, S. Focused-ion-beam deposition for 3-D nanostructure fabrication. Nucl. Instrum. Methods Phys. Res. Sect. B: Beam Interact. Mater. At. 2007, 257, 758–764. [Google Scholar] [CrossRef]
  45. Lee, R.; Cecere, M. The Usage of Focused Ion Beam Induced Deposition of Gold Film in IC Device Modification and Repair. In Proceedings of the International Symposium for Testing and Failure Analysis, Santa Clara, CA, USA, 27–31 October 1997. [Google Scholar]
  46. Utke, I.; Michler, J.; Winkler, R.; Plank, H. Mechanical properties of 3D nanostructures obtained by focused electron/ion beam-induced deposition: A review. Micromachines 2020, 11, 397. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  47. Li, W.; Zhan, X.; Song, X.; Si, S.; Chen, R.; Liu, J.; Wang, Z.; He, J.; Xiao, X. A review of recent applications of ion beam techniques on nanomaterial surface modification: Design of nanostructures and energy harvesting. Small 2019, 15, 1901820. [Google Scholar] [CrossRef] [PubMed]
  48. Luxmoore, I.J.; Ross, I.M.; Cullis, A.G.; Fry, P.W.; Orr, J.; Buckle, P.D.; Jefferson, J.H. Low temperature electrical characterisation of tungsten nano-wires fabricated by electron and ion beam induced chemical vapour deposition. Thin Solid Films 2007, 515, 6791–6797. [Google Scholar] [CrossRef]
  49. Li, Z.; Chen, F. Ion beam modification of two-dimensional materials: Characterization, properties, and applications. Appl. Phys. Rev. 2017, 4, 011103. [Google Scholar] [CrossRef]
  50. Moll, P.J. Focused ion beam microstructuring of quantum matter. Annu. Rev. Condens. Matter Phys. 2018, 9, 147–162. [Google Scholar] [CrossRef]
  51. Nanda, G.; Goswami, S.; Watanabe, K.; Taniguchi, T.; Alkemade, P.F.A. Defect Control and n-Doping of Encapsulated Graphene by Helium-Ion-Beam Irradiation. Nano Lett. 2015, 15, 4006–4012. [Google Scholar] [CrossRef]
  52. Phan, H.-P.; Kozeki, T.; Dinh, T.; Fujii, T.; Qamar, A.; Zhu, Y.; Namazu, T.; Nguyen, N.-T.; Dao, D.V. Piezoresistive effect of p-type silicon nanowires fabricated by a top-down process using FIB implantation and wet etching. RSC Adv. 2015, 5, 82121–82126. [Google Scholar] [CrossRef] [Green Version]
  53. Eder, K.; Bhatia, V.; Qu, J.; van Leer, B.; Dutka, M.; Cairney, J.M. A multi-ion plasma FIB study: Determining ion implantation depths of Xe, N, O and Ar in tungsten via atom probe tomography. Ultramicroscopy 2021, 228, 113334. [Google Scholar] [CrossRef]
  54. Mahady, K.T.; Tan, S.; Greenzweig, Y.; Raveh, A.; Rack, P.D. Simulating advanced focused ion beam nanomachining: A quantitative comparison of simulation and experimental results. Nanotechnology 2018, 29, 495301. [Google Scholar] [CrossRef]
  55. Deshpande, P.; Vilayurganapathy, S.; Bhat, K.N.; Ghosh, A. Study of Ga+ implantation in Si diodes: Effect on optoelectronic properties using micro-spectroscopy. Appl. Phys. A 2019, 125, 181. [Google Scholar] [CrossRef]
  56. Garg, V.; Mote, R.G.; Fu, J. Facile fabrication of functional 3D micro-nano architectures with focused ion beam implantation and selective chemical etching. Appl. Surf. Sci. 2020, 526, 146644. [Google Scholar] [CrossRef]
  57. McKenzie, W.; Quadir, M.Z.; Gass, M.H.; Munroe, P. Focused Ion beam implantation of diamond. Diam. Relat. Mater. 2011, 20, 1125–1128. [Google Scholar] [CrossRef] [Green Version]
  58. Rubanov, S.; Suvorova, A.; Popov, V.P.; Kalinin, A.A.; Pal’yanov, Y.N. Fabrication of graphitic layers in diamond using FIB implantation and high pressure high temperature annealing. Diam. Relat. Mater. 2016, 63, 143–147. [Google Scholar] [CrossRef]
  59. Wei, Q.; Lian, J.; Lu, W.; Wang, L. Highly ordered Ga nanodroplets on a GaAs surface formed by a focused ion beam. Phys. Rev. Lett. 2008, 100, 076103. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  60. Hu, H.; Shi, B.; Breslin, C.M.; Gignac, L.; Peng, Y. A Sub-Micron Spherical Atomic Force Microscopic Tip for Surface Measurements. Langmuir 2020, 36, 7861–7867. [Google Scholar] [CrossRef] [PubMed]
  61. Luo, H.; Jing, R.; Cui, Y.-M.; Wang, H.-L.; Wang, R.-M. Improvement of fabrication precision of focused ion beam by introducing simultaneous electron beam. Prog. Nat. Sci. Mater. Int. 2010, 20, 111–115. [Google Scholar] [CrossRef] [Green Version]
  62. Nan, N.; Wang, J. FIB-SEM Three-Dimensional Tomography for Characterization of Carbon-Based Materials. Adv. Mater. Sci. Eng. 2019, 2019, 8680715. [Google Scholar] [CrossRef] [Green Version]
  63. Rigort, A.; Kotecha, A.; Reyntjens, S.; Mitchels, J. A Next Generation Cryo-FIB Microscope for High-Throughput Cryo-Electron Tomography. Microsc. Microanal. 2022, 28, 1250–1251. [Google Scholar] [CrossRef]
  64. Dumoux, M.; Glen, T.; Smith, J.L.; Ho, E.M.; Perdigão, L.M.; Pennington, A.; Klumpe, S.; Yee, N.B.; Farmer, D.A.; Lai, P.Y. Cryo-plasma FIB/SEM volume imaging of biological specimens. Elife 2023, 12, e83623. [Google Scholar] [CrossRef]
  65. Pillatsch, L.; Östlund, F.; Michler, J. FIBSIMS: A review of secondary ion mass spectrometry for analytical dual beam focussed ion beam instruments. Prog. Cryst. Growth Charact. Mater. 2019, 65, 1–19. [Google Scholar] [CrossRef]
  66. Audinot, J.-N.; Vollnhals, F.; Gratia, P.; Eswara, S.; Philipp, P.; Wirtz, T. Secondary Ion Mass Spectrometry on the Helium Ion Microscope: Methodologies for analysis of nanomaterials. Microsc. Microanal. 2018, 24, 1016–1017. [Google Scholar] [CrossRef] [Green Version]
  67. Giannuzzi, L.A.; Stevie, F.A. A review of focused ion beam milling techniques for TEM specimen preparation. Micron 1999, 30, 197–204. [Google Scholar] [CrossRef]
  68. Knipling, K.E.; Rowenhorst, D.J.; Fonda, R.W.; Spanos, G. Effects of focused ion beam milling on austenite stability in ferrous alloys. Mater. Charact. 2010, 61, 1–6. [Google Scholar] [CrossRef]
  69. Zhong, X.L.; Wade, C.A.; Withers, P.J.; Zhou, X.; Cai, C.; Haigh, S.J.; Burke, M.G. Comparing Xe+ pFIB and Ga+ FIB for TEM sample preparation of Al alloys: Minimising FIB-induced artefacts. J. Microsc. 2020, 282, 101–112. [Google Scholar] [CrossRef]
  70. Huh, Y.; Hong, K.J.; Shin, K.S. Amorphization Induced by Focused Ion Beam Milling in Metallic and Electronic Materials. Microsc. Microanal. 2013, 19, 33–37. [Google Scholar] [CrossRef] [Green Version]
  71. Novák, L.; Wu, M.; Wandrol, P.; Kolíbal, M.; Vystavěl, T. New approaches to in-situ heating in FIB/SEM systems. Microsc. Microanal. 2017, 23, 928–929. [Google Scholar] [CrossRef] [Green Version]
  72. Papynov, E.K.; Portnyagin, A.S.; Modin, E.B.; Mayorov, V.Y.; Shichalin, O.O.; Golikov, A.P.; Pechnikov, V.S.; Gridasova, E.A.; Tananaev, I.G.; Avramenko, V.A. A complex approach to assessing porous structure of structured ceramics obtained by SPS technique. Mater. Charact. 2018, 145, 294–302. [Google Scholar] [CrossRef]
  73. Minenkov, A.; Šantić, N.; Truglas, T.; Aberl, J.; Vukušić, L.; Brehm, M.; Groiss, H. Advanced preparation of plan-view specimens on a MEMS chip for in situ TEM heating experiments. MRS Bull. 2022, 47, 359–370. [Google Scholar] [CrossRef]
  74. Tiddia, M.; Seah, M.P.; Shard, A.G.; Mula, G.; Havelund, R.; Gilmore, I.S. Argon cluster cleaning of Ga+ FIB-milled sections of organic and hybrid materials. Surf. Interface Anal. 2018, 52, 327–334. [Google Scholar] [CrossRef] [Green Version]
  75. Singh, B.K. Sub-10 nm nanofabrication with the helium and neon ions in ORION NanoFab. In Proceedings of the International Conference Application of Accelerators in Research and Industry, Ft. Worth, TX, USA, 5–10 August 2012. [Google Scholar]
  76. Allen, F.I.; Velez, N.R.; Thayer, R.C.; Patel, N.H.; Jones, M.A.; Meyers, G.F.; Minor, A.M. Gallium, neon and helium focused ion beam milling of thin films demonstrated for polymeric materials: Study of implantation artifacts. Nanoscale 2019, 11, 1403–1409. [Google Scholar] [CrossRef] [PubMed]
  77. Zhang, W.; Zhang, Q.-H.; Zhao, M.-Q.; Theil Kuhn, L. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’. Nanotechnology 2013, 24, 275301. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  78. Kollmann, H.; Piao, X.; Esmann, M.; Becker, S.F.; Hou, D.; Huynh, C.; Kautschor, L.-O.; Bosker, G.; Vieker, H.; Beyer, A.; et al. Toward plasmonics with nanometer precision: Nonlinear optics of helium-ion milled gold nanoantennas. Nano Lett. 2014, 14, 4778–4784. [Google Scholar] [CrossRef] [PubMed]
  79. Kumar, R.; Chauhan, M.; Moinuddin, M.G.; Sharma, S.K.; Gonsalves, K.E. Development of Nickel-based Negative Tone Metal Oxide Cluster Resists for sub-10 nm Electron Beam and Helium Ion Beam Lithography. ACS Appl. Mater. Interfaces 2020, 12, 19616–19624. [Google Scholar] [CrossRef] [PubMed]
  80. Yoon, H.-S.; Kim, C.-S.; Lee, H.-T.; Ahn, S.-H. Advanced scanning paths for focused ion beam milling. Vacuum 2017, 143, 40–49. [Google Scholar] [CrossRef]
  81. Ziegler, J.F.; Ziegler, M.D.; Biersack, J.P. SRIM—The stopping and range of ions in matter (2010). Nucl. Instrum. Meth. B 2010, 268, 1818–1823. [Google Scholar] [CrossRef] [Green Version]
  82. Drobny, J.; Curreli, D. F-TRIDYN simulations of tungsten self-sputtering and applications to coupling plasma and material codes. Comput. Mater. Sci. 2018, 149, 301–306. [Google Scholar] [CrossRef]
  83. Muller, W.; Eckstein, W. Tridyn—A TRIM simulation code including dynamic composition changes. Nucl. Instrum. Meth. B 1984, 2, 814–818. [Google Scholar] [CrossRef]
  84. von Toussaint, U.; Mutzke, A.; Manhard, A. Sputtering of rough surfaces: A 3D simulation study. Phys. Scr. 2017, 2017, 014056. [Google Scholar] [CrossRef]
  85. Timilsina, R.; Smith, D.A.; Rack, P.D. A comparison of neon versus helium ion beam induced deposition via Monte Carlo simulations. Nanotechnology 2013, 24, 115302. [Google Scholar] [CrossRef]
  86. Mahady, K.; Tan, S.; Greenzweig, Y.; Livengood, R.; Raveh, A.; Fowlkes, J.D.; Rack, P. Monte Carlo simulations of secondary electron emission due to ion beam milling. J. Vac. Sci. Technol. B 2017, 35, 041805. [Google Scholar] [CrossRef]
  87. Mahady, K.; Tan, S.; Greenzweig, Y.; Livengood, R.; Raveh, A.; Rack, P. Monte Carlo simulations of nanoscale Ne+ ion beam sputtering: Investigating the influence of surface effects, interstitial formation, and the nanostructural evolution. Nanotechnology 2016, 28, 045305. [Google Scholar] [CrossRef]
  88. Mahady, K.T.; Tan, S.; Greenzweig, Y.; Raveh, A.; Rack, P.D. Monte Carlo simulation of nanoscale material focused ion beam gas-assisted etching: Ga+ and Ne+ etching of SiO2 in the presence of a XeF2 precursor gas. Nanoscale Adv. 2019, 1, 3584–3596. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  89. Timilsina, R.; Rack, P.D. Monte Carlo simulations of nanoscale focused neon ion beam sputtering. Nanotechnology 2013, 24, 495303. [Google Scholar] [CrossRef] [PubMed]
  90. Buchnev, O.; Grant-Jacob, J.A.; Eason, R.W.; Zheludev, N.I.; Mills, B.; MacDonald, K.F. Deep-Learning-Assisted Focused Ion Beam Nanofabrication. Nano Lett. 2022, 22, 2734–2739. [Google Scholar] [CrossRef]
  91. Wu, H.M.; Stern, L.A.; Chen, J.H.; Huth, M.; Schwalb, C.H.; Winhold, M.; Porrati, F.; González, C.M.; Timilsina, R.; Rack, P.D. Synthesis of nanowires via helium and neon focused ion beam induced deposition with the gas field ion microscope. Nanotechnology 2013, 24, 175302. [Google Scholar] [CrossRef]
  92. Shukla, N.; Tripathi, S.K.; Banerjee, A.; Ramana, A.S.V.; Rajput, N.S.; Kulkarni, V.N. Study of temperature rise during focused Ga ion beam irradiation using nanothermo-probe. Appl. Surf. Sci. 2009, 256, 475–479. [Google Scholar] [CrossRef]
  93. Cui, A.; Li, W.; Shen, T.H.; Yao, Y.; Fenton, J.C.; Peng, Y.; Liu, Z.; Zhang, J.; Gu, C. Thermally Induced Shape Modification of Free-standing Nanostructures for Advanced Functionalities. Sci. Rep. 2013, 3, 2429. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  94. Cui, A.; Liu, Z.; Dong, H.; Wang, Y.; Zhen, Y.; Li, W.; Li, J.; Gu, C.; Hu, W. Single grain boundary break junction for suspended nanogap electrodes with gapwidth down to 1–2 nm by focused ion beam milling. Adv. Mater. 2015, 27, 3002–3006. [Google Scholar] [CrossRef]
  95. Hinum-Wagner, J.; Kuhness, D.; Kothleitner, G.; Winkler, R.; Plank, H. FEBID 3D-Nanoprinting at Low Substrate Temperatures: Pushing the Speed While Keeping the Quality. Nanomaterials 2021, 11, 1527. [Google Scholar] [CrossRef]
  96. Esposito, M.; Tasco, V.; Todisco, F.; Benedetti, A.; Sanvitto, D.; Passaseo, A. Three Dimensional Chiral Metamaterial Nanospirals in the Visible Range by Vertically Compensated Focused Ion Beam Induced-Deposition. Adv. Opt. Mater. 2014, 2, 154–161. [Google Scholar] [CrossRef]
  97. Esposito, M.; Tasco, V.; Cuscunà, M.; Todisco, F.; Benedetti, A.; Tarantini, I.; De Giorgi, M.; Sanvitto, D.; Passaseo, A. Nanoscale 3D Chiral Plasmonic Helices with Circular Dichroism at Visible Frequencies. ACS Photonics 2015, 2, 105–114. [Google Scholar] [CrossRef]
  98. Córdoba, R.; Mailly, D.; Rezaev, R.; Smirnova, E.; Schmidt, O.G.; Fomin, V.M.; Zeitler, U.; Guillamón, I.; Suderow, H.; de Teresa, J.M. Three-Dimensional Superconducting Nanohelices Grown by He+-Focused-Ion-Beam Direct Writing. Nano Lett. 2019, 19, 8597–8604. [Google Scholar] [CrossRef] [Green Version]
  99. Chen, S.; Chen, J.; Zhang, X.; Li, Z.-Y.; Li, J. Kirigami/origami: Unfolding the new regime of advanced 3D microfabrication/nanofabrication with “folding”. Light Sci. Appl. 2020, 9, 75. [Google Scholar] [CrossRef] [PubMed]
  100. Liu, Z.; Li, J.; Liu, Z.; Li, W.; Li, J.; Gu, C.; Li, Z. Fano resonance Rabi splitting of surface plasmons. Sci. Rep. 2017, 7, 8010. [Google Scholar] [CrossRef] [PubMed]
  101. Liu, Z.; Du, H.; Li, J.; Lu, L.; Li, Z.-Y.; Fang, N.X. Nano-kirigami with giant optical chirality. Sci. Adv. 2018, 4, eaat4436. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  102. Garoli, D.; Zilio, P.; De Angelis, F.; Gorodetski, Y. Helicity locking of chiral light emitted from a plasmonic nanotaper. Nanoscale 2017, 9, 6965–6969. [Google Scholar] [CrossRef]
  103. Chen, S.-S.; Liu, X.; Liu, Z.-G.; Li, J.-F. Focused ion beam based nano-kirigami/origami for three-dimensional micro/nanomanufacturing and photonic applications. Acta Phys. Sin. 2019, 68, 248101. [Google Scholar] [CrossRef]
  104. Zhao, L.; Li, C.; Xu, J.; Wu, W. Fabrication of suspended periodic nanostructure by focused ion beam induced material migration and Rayleigh-Plateau instability. In Proceedings of the 13th IEEE International Conference on Nanotechnology, Beijing, China, 5–8 August 2013. [Google Scholar]
  105. Liu, Z.; Du, H.; Li, Z.-Y.; Fang, N.X.; Li, J. Invited Article: Nano-kirigami metasurfaces by focused-ion-beam induced close-loop transformation. APL Photonics 2018, 3, 100803. [Google Scholar] [CrossRef] [Green Version]
  106. Chalapat, K.; Chekurov, N.; Li, J.; Paraoanu, G. Ion-beam assisted self-assembly of metallic nanostructures. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 2012, 272, 202–205. [Google Scholar] [CrossRef]
  107. Okada, M.; Nakamatsu, K.-i.; Kanda, K.; Haruyama, Y.; Kometani, R.; Kaito, T.; Matsui, S. Examination of focused-ion-beam repair resolution for UV-nanoimprint templates. Jpn. J. Appl. Phys. 2008, 47, 5160. [Google Scholar] [CrossRef]
  108. Gorkunov, M.V.; Rogov, O.Y.; Kondratov, A.V.; Artemov, V.V.; Gainutdinov, R.V.; Ezhov, A.A. Chiral visible light metasurface patterned in monocrystalline silicon by focused ion beam. Sci. Rep. 2018, 8, 11623. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  109. Drezner, Y.; Greenzweig, Y.; Tan, S.; Livengood, R.H.; Raveh, A. High resolution TEM analysis of focused ion beam amorphized regions in single crystal silicon—A complementary materials analysis of the teardrop method. J. Vac. Sci. Technol. B 2017, 35, 011801. [Google Scholar] [CrossRef]
  110. Liu, Z.; Du, S.; Cui, A.; Li, Z.; Fan, Y.; Chen, S.; Li, W.; Li, J.; Gu, C. High-Quality-Factor Mid-Infrared Toroidal Excitation in Folded 3D Metamaterials. Adv. Mater. 2017, 29, 1606298. [Google Scholar] [CrossRef]
  111. Celebi, K.; Buchheim, J.; Wyss, R.M.; Droudian, A.; Gasser, P.; Shorubalko, I.; Kye, J.-I.; Lee, C.; Park, H.G. Ultimate permeation across atomically thin porous graphene. Science 2014, 344, 289–292. [Google Scholar] [CrossRef]
  112. López, J.J.; Ambrosio, A.; Dai, S.; Huynh, C.; Bell, D.C.; Lin, X.; Rivera, N.; Huang, S.; Ma, Q.; Eyhusen, S. Large photothermal effect in sub-40 nm h-BN nanostructures patterned via high-resolution ion beam. Small 2018, 14, 1800072. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  113. Winston, D.; Manfrinato, V.R.; Nicaise, S.M.; Cheong, L.L.; Duan, H.; Ferranti, D.; Marshman, J.; McVey, S.; Stern, L.; Notte, J.; et al. Neon ion beam lithography (NIBL). Nano Lett. 2011, 11, 4343–4347. [Google Scholar] [CrossRef] [PubMed]
  114. Li, W.; Liao, L.; Xiao, X.; Zhao, X.; Dai, Z.; Guo, S.; Wu, W.; Shi, Y.; Xu, J.; Ren, F.; et al. Modulating the threshold voltage of oxide nanowire field-effect transistors by a Ga+ ion beam. Nano Res. 2014, 7, 1691–1698. [Google Scholar] [CrossRef]
  115. Kim, T.-Y.; Cho, K.; Park, W.; Park, J.; Song, Y.; Hong, S.; Hong, W.-K.; Lee, T. Irradiation effects of high-energy proton beams on MoS2 field effect transistors. ACS Nano 2014, 8, 2774–2781. [Google Scholar] [CrossRef]
  116. Li, C.; Ding, K.; Wu, W.; Xu, J. Ultra-fine nanofabrication by hybrid of energetic ion induced fluidization and stress. In Proceedings of the IEEE 24th International Conference on Micro Electro Mechanical Systems, Cancun, Mexico, 23–27 January 2011. [Google Scholar]
  117. Liao, Z.-M.; Lu, Y.; Wu, H.-C.; Bie, Y.-Q.; Zhou, Y.-B.; Yu, D.-P. Improved performance of ZnO nanowire field-effect transistors via focused ion beam treatment. Nanotechnology 2011, 22, 375201. [Google Scholar] [CrossRef]
  118. Liu, Y.; Gao, Z.; Tan, Y.; Chen, F. Enhancement of out-of-plane charge transport in a vertically stacked two-dimensional heterostructure using point defects. ACS Nano 2018, 12, 10529–10536. [Google Scholar] [CrossRef]
  119. Jamaludin, F.S.; Mohd Sabri, M.F.; Said, S.M. Controlling parameters of focused ion beam (FIB) on high aspect ratio micro holes milling. Microsyst. Technol. 2013, 19, 1873–1888. [Google Scholar] [CrossRef]
  120. Ishikawa, O.; Nitta, N.; Taniwaki, M. Fabrication of high aspect ratio nanocell lattices by ion beam irradiation. Appl. Surf. Sci. 2016, 385, 515–520. [Google Scholar] [CrossRef]
  121. Bassim, N.D.; De Gregorio, B.T.; Kilcoyne, A.L.D.; Scott, K.; Chou, T.; Wirick, S.; Cody, G.; Stroud, R.M. Minimizing damage during FIB sample preparation of soft materials. J. Microsc. 2012, 245, 288–301. [Google Scholar] [CrossRef]
  122. Kim, S.; Park, M.J.; Balsara, N.P.; Liu, G.; Minor, A.M. Minimization of focused ion beam damage in nanostructured polymer thin films. Ultramicroscopy 2011, 111, 191–199. [Google Scholar] [CrossRef]
  123. Guan, L.; Peng, K.; Yang, Y.; Qiu, X.; Wang, C. The nanofabrication of polydimethylsiloxane using a focused ion beam. Nanotechnology 2009, 20, 145301. [Google Scholar] [CrossRef] [PubMed]
  124. Mancini, A.; Giliberti, V.; Alabastri, A.; Calandrini, E.; de Angelis, F.; Garoli, D.; Ortolani, M. Thermoplasmonic Effect of Surface Enhanced Infrared Absorption in Vertical Nanoantenna Arrays. J. Phys. Chem. C 2018, 122, 13072–13081. [Google Scholar] [CrossRef] [Green Version]
  125. Orthacker, A.; Schmied, R.; Chernev, B.; Fröch, J.E.; Winkler, R.; Hobisch, J.; Trimmel, G.; Plank, H. Chemical degradation and morphological instabilities during focused ion beam prototyping of polymers. Phys. Chem. Chem. Phys. 2014, 16, 1658–1666. [Google Scholar] [CrossRef]
  126. Her, E.K.; Chung, H.-S.; Moon, M.W.; Oh, K.H. An angled nano-tunnel fabricated on poly(methyl methacrylate) by a focused ion beam. Nanotechnology 2009, 20, 285301. [Google Scholar] [CrossRef]
  127. Gorelick, S.; Vila-Comamala, J.; Guzenko, V.; Mokso, R.; Stampanoni, M.; David, C. Direct e-beam writing of high aspect ratio nanostructures in PMMA: A tool for diffractive X-ray optics fabrication. Microelectron. Eng. 2010, 87, 1052–1056. [Google Scholar] [CrossRef]
  128. Romagnoli, P.; Maeda, M.; Ward, J.M.; Truong, V.G.; Nic Chormaic, S. Fabrication of optical nanofibre-based cavities using focussed ion-beam milling: A review. Appl. Phys. B 2020, 126, 111. [Google Scholar] [CrossRef]
  129. Li, W.; Du, J.; Truong, V.G.; Chormaic, S.N. Optical nanofiber-based cavity induced by periodic air-nanohole arrays. Appl. Phys. Lett. 2017, 110, 253102. [Google Scholar] [CrossRef] [Green Version]
  130. Kim, H.-M.; Uh, M.; Jeong, D.H.; Lee, H.-Y.; Park, J.-H.; Lee, S.-K. Localized surface plasmon resonance biosensor using nanopatterned gold particles on the surface of an optical fiber. Sensors Actuators B: Chem. 2019, 280, 183–191. [Google Scholar] [CrossRef]
  131. Reznikov, N.; Shahar, R.; Weiner, S. Bone hierarchical structure in three dimensions. Acta Biomater. 2014, 10, 3815–3826. [Google Scholar] [CrossRef]
  132. Narayan, K.; Subramaniam, S. Focused ion beams in biology. Nat. Meth. 2015, 12, 1021–1031. [Google Scholar] [CrossRef] [PubMed]
  133. Heymann, J.A.; Hayles, M.; Gestmann, I.; Giannuzzi, L.A.; Lich, B.; Subramaniam, S. Site-specific 3D imaging of cells and tissues with a dual beam microscope. J. Struct. Biol. 2006, 155, 63–73. [Google Scholar] [CrossRef] [Green Version]
  134. Trebichalská, Z.; Javůrek, J.; Tatíčková, M.; Kyjovská, D.; Kloudová, S.; Otevřel, P.; Hampl, A.; Holubcová, Z. High-Resolution 3D Reconstruction of Human Oocytes Using Focused Ion Beam Scanning Electron Microscopy. Front. Cell Dev. Biol. 2021, 9, 755740. [Google Scholar] [CrossRef]
  135. Xu, C.S.; Pang, S.; Hayworth, K.J.; Hess, H.F. Transforming FIB-SEM Systems for Large-Volume Connectomics and Cell Biology. In Volume Microscopy: Multiscale Imaging with Photons, Electrons, and Ions; Springer: New York, NY, USA, 2020; pp. 221–243. [Google Scholar]
  136. Röding, M.; Fager, C.; Olsson, A.; von Corswant, C.; Olsson, E.; Lorén, N. Three-dimensional reconstruction of porous polymer films from FIB-SEM nanotomography data using random forests. J. Microsc. 2020, 281, 76–86. [Google Scholar] [CrossRef]
  137. Lee, C.; Proust, G.; Alici, G.; Spinks, G.; Cairney, J.M. Three-dimensional nanofabrication of polystyrene by focused ion beam. J. Microsc. 2012, 248, 129–139. [Google Scholar] [CrossRef]
Figure 1. (ad) Schematic diagrams of liquid metal ion source (LMIS) Ga, gas field ionization source (GFIS) He, inductively coupled plasma (ICP) source Xe, and electron cyclotron resonance (ECR) plasma ion source, respectively.
Figure 1. (ad) Schematic diagrams of liquid metal ion source (LMIS) Ga, gas field ionization source (GFIS) He, inductively coupled plasma (ICP) source Xe, and electron cyclotron resonance (ECR) plasma ion source, respectively.
Nanomaterials 13 01839 g001
Figure 2. FIB ion optical column.
Figure 2. FIB ion optical column.
Nanomaterials 13 01839 g002
Figure 3. (a) Schematic of ion–solid interaction. Reprinted with permission from Ref. [32]. Copyright 2021, The Royal Society of Chemistry. (bd) Basic operating modes of FIB processing of milling (b) milling, (c) deposition, and (d) ion implantation. Reprinted with permission from Ref. [33]. Copyright 2011, Elsevier Ltd.
Figure 3. (a) Schematic of ion–solid interaction. Reprinted with permission from Ref. [32]. Copyright 2021, The Royal Society of Chemistry. (bd) Basic operating modes of FIB processing of milling (b) milling, (c) deposition, and (d) ion implantation. Reprinted with permission from Ref. [33]. Copyright 2011, Elsevier Ltd.
Nanomaterials 13 01839 g003
Figure 4. FIBID-based W nano bridge on SiO2 substrate. Reprinted with permission from Ref. [48]. Copyright 2007, Elsevier B.V.
Figure 4. FIBID-based W nano bridge on SiO2 substrate. Reprinted with permission from Ref. [48]. Copyright 2007, Elsevier B.V.
Nanomaterials 13 01839 g004
Figure 5. Distributions of implanted (a) Ga+ and (b) Ne+ in SiO2 substrate. Reprinted with permission from Ref. [54]. Copyright 2018, IOP Publishing Ltd.
Figure 5. Distributions of implanted (a) Ga+ and (b) Ne+ in SiO2 substrate. Reprinted with permission from Ref. [54]. Copyright 2018, IOP Publishing Ltd.
Nanomaterials 13 01839 g005
Figure 6. FIB-SEM dual-beam system.
Figure 6. FIB-SEM dual-beam system.
Nanomaterials 13 01839 g006
Figure 7. (a) Triple-beam system of FIB-SEM-Ar. (b) Ga-He-Ne multi-beam system. Reprinted with permission from Ref. [75]. Copyright 2013, AIP Publishing LLC.
Figure 7. (a) Triple-beam system of FIB-SEM-Ar. (b) Ga-He-Ne multi-beam system. Reprinted with permission from Ref. [75]. Copyright 2013, AIP Publishing LLC.
Nanomaterials 13 01839 g007
Figure 8. (a) Deposited devices prepared for temperature-dependent electrical conductivity measurements (scale bar: 2 μm). Reprinted with permission from Ref. [91]. Copyright 2013, IOP Publishing Ltd. (b) FIBID-based Pt-W nanothermocouple is used to measure the temperature near 100 nm on the substrate. Reprinted with permission from Ref. [92]. Copyright 2009, Elsevier B.V. (c) Nanocage construction with Pt wire for single crystalline ZnO tube immobilization (scale bar: 1 μm). Reprinted with permission from Ref. [93]. Copyright 2013, Spring Nature.
Figure 8. (a) Deposited devices prepared for temperature-dependent electrical conductivity measurements (scale bar: 2 μm). Reprinted with permission from Ref. [91]. Copyright 2013, IOP Publishing Ltd. (b) FIBID-based Pt-W nanothermocouple is used to measure the temperature near 100 nm on the substrate. Reprinted with permission from Ref. [92]. Copyright 2009, Elsevier B.V. (c) Nanocage construction with Pt wire for single crystalline ZnO tube immobilization (scale bar: 1 μm). Reprinted with permission from Ref. [93]. Copyright 2013, Spring Nature.
Nanomaterials 13 01839 g008
Figure 9. (a) SEM images of a single grain boundary (GB) junction before and after FIB milling. Reprinted with permission from Ref. [94]. Copyright 2015, Wiley-VCH. (b) Nanogap fine-tuning using substrate swelling induced by helium ion implantation. Reprinted with permission from Ref. [4]. Copyright 2022, Wiley-VCH.
Figure 9. (a) SEM images of a single grain boundary (GB) junction before and after FIB milling. Reprinted with permission from Ref. [94]. Copyright 2015, Wiley-VCH. (b) Nanogap fine-tuning using substrate swelling induced by helium ion implantation. Reprinted with permission from Ref. [4]. Copyright 2022, Wiley-VCH.
Nanomaterials 13 01839 g009
Figure 10. (a) SEM images of nanohelices under different beam energies and step sizes; and (b) array of 20 × 20 nanohelices fabricated by FIBID. Reprinted with permission from Ref. [97]. Copyright 2014, American Chemical Society. (c) W-C nanohelices grown by He+ FIB. Nanohelices of types 1–5 were grown by keeping the fixed nominal circular diameter of 75 nm and varying the beam dwell time from 700 to 2400 ms, while types 6–8 were grown by keeping the fixed nominal circular diameter of 200 nm and varying the beam dwell time from 650 to 2000 ms. Reprinted with permission from Ref. [98]. Copyright 2019, American Chemical Society.
Figure 10. (a) SEM images of nanohelices under different beam energies and step sizes; and (b) array of 20 × 20 nanohelices fabricated by FIBID. Reprinted with permission from Ref. [97]. Copyright 2014, American Chemical Society. (c) W-C nanohelices grown by He+ FIB. Nanohelices of types 1–5 were grown by keeping the fixed nominal circular diameter of 75 nm and varying the beam dwell time from 700 to 2400 ms, while types 6–8 were grown by keeping the fixed nominal circular diameter of 200 nm and varying the beam dwell time from 650 to 2000 ms. Reprinted with permission from Ref. [98]. Copyright 2019, American Chemical Society.
Nanomaterials 13 01839 g010
Figure 11. (a) The nano deformation is affected by irradiation mode. (b) The nano deformation is affected by ion dose. (cf) Different 3D folding structures (c) metallic structures made of Al/Cr thin film before FIB irradiation. Inset: the final 3D structures after FIB irradiation; (d) a flower-shaped structure under global FIB irradiation; (e) origami of an expandable dome (corresponding to a traditional Chinese origami named “pulling flower”); and (f) a 12-blade propeller. (a,d) Reprinted with permission from [105]. Copyright 2018, AIP Publishing. (b,e,f) Reprinted with permission from [101]. Copyright 2018, American Association for the Advancement of Science. (c) Reprinted with permission from [106], Copyright 2011, Elsevier B.V.
Figure 11. (a) The nano deformation is affected by irradiation mode. (b) The nano deformation is affected by ion dose. (cf) Different 3D folding structures (c) metallic structures made of Al/Cr thin film before FIB irradiation. Inset: the final 3D structures after FIB irradiation; (d) a flower-shaped structure under global FIB irradiation; (e) origami of an expandable dome (corresponding to a traditional Chinese origami named “pulling flower”); and (f) a 12-blade propeller. (a,d) Reprinted with permission from [105]. Copyright 2018, AIP Publishing. (b,e,f) Reprinted with permission from [101]. Copyright 2018, American Association for the Advancement of Science. (c) Reprinted with permission from [106], Copyright 2011, Elsevier B.V.
Nanomaterials 13 01839 g011
Figure 12. (a,b) SEM images of chiral patterning on Si/Al2O3 produced by digitally controlled FIB: (a) normal view with the FIB paths and directions (curved arrows) and the square unit cell (dashed boundary); and (b) SEM-image of the sample tilted by 52°; Reprinted with permission from [108]. Copyright 2018, Spring Nature. (c) Schematic diagram of toroidal molecule folded by ion beam. (d) SEM images of toroidal metamaterial array for SiNx. Reprinted with permission from [110]. Copyright 2017, WILEY-VCH Verlag GmbH & Co. kGaA.
Figure 12. (a,b) SEM images of chiral patterning on Si/Al2O3 produced by digitally controlled FIB: (a) normal view with the FIB paths and directions (curved arrows) and the square unit cell (dashed boundary); and (b) SEM-image of the sample tilted by 52°; Reprinted with permission from [108]. Copyright 2018, Spring Nature. (c) Schematic diagram of toroidal molecule folded by ion beam. (d) SEM images of toroidal metamaterial array for SiNx. Reprinted with permission from [110]. Copyright 2017, WILEY-VCH Verlag GmbH & Co. kGaA.
Nanomaterials 13 01839 g012
Figure 13. (a) The PL spectrum of single ZnO NWs measured at 300 K. Inset: an SEM image of the ZnO NW FET. (b) Isd–Vsd curves before and after ion irradiation. Reprinted with permission from [117]. Copyright 2011, IOP Publishing Ltd. (c) Experimental setup for the measurement of the interlayer photocurrent of the WSe2/graphene (W/G) heterostructure. (d) Transfer characteristics of the W/G photodiode. Reprinted with permission from [118]. Copyright 2018, American Chemical Society.
Figure 13. (a) The PL spectrum of single ZnO NWs measured at 300 K. Inset: an SEM image of the ZnO NW FET. (b) Isd–Vsd curves before and after ion irradiation. Reprinted with permission from [117]. Copyright 2011, IOP Publishing Ltd. (c) Experimental setup for the measurement of the interlayer photocurrent of the WSe2/graphene (W/G) heterostructure. (d) Transfer characteristics of the W/G photodiode. Reprinted with permission from [118]. Copyright 2018, American Chemical Society.
Nanomaterials 13 01839 g013
Figure 14. Cross-sectional SEM images of InSb nanocell lattices fabricated using 30 kV Ga+ FIB after (a) scan 5 and (b) scan 10; and (c) sizes of nanocell lattice versus beam scan. Reprinted with permission from [120]. Copyright 2016, Elsevier B.V.
Figure 14. Cross-sectional SEM images of InSb nanocell lattices fabricated using 30 kV Ga+ FIB after (a) scan 5 and (b) scan 10; and (c) sizes of nanocell lattice versus beam scan. Reprinted with permission from [120]. Copyright 2016, Elsevier B.V.
Nanomaterials 13 01839 g014
Figure 15. SEM images with different views of the nano-tunnel structures with a high aspect ratio (a) plan view; and (b) cross-section view. Reprinted with permission from [126]. Copyright 2009, IOP Publishing Ltd. SEM images of ~1 µm thick PMMA on Cr/Si substrate (c) Period = 200 nm, line bias = 15 nm; and (d) period = 160 nm, line bias = 25 nm. Reprinted with permission from [127]. Copyright 2009, Elsevier B.V.
Figure 15. SEM images with different views of the nano-tunnel structures with a high aspect ratio (a) plan view; and (b) cross-section view. Reprinted with permission from [126]. Copyright 2009, IOP Publishing Ltd. SEM images of ~1 µm thick PMMA on Cr/Si substrate (c) Period = 200 nm, line bias = 15 nm; and (d) period = 160 nm, line bias = 25 nm. Reprinted with permission from [127]. Copyright 2009, Elsevier B.V.
Nanomaterials 13 01839 g015
Figure 16. SEM image of a 970 nm diameter nanofiber-based milling using a Ga+ FIB. The measured size is ~141.6 nm × 130.4 nm with a pitch of ~326.3 nm. Reprinted with permission from [129]. Copyright 2017, AIP Publishing.
Figure 16. SEM image of a 970 nm diameter nanofiber-based milling using a Ga+ FIB. The measured size is ~141.6 nm × 130.4 nm with a pitch of ~326.3 nm. Reprinted with permission from [129]. Copyright 2017, AIP Publishing.
Nanomaterials 13 01839 g016
Table 1. Commercial ion sources and related performance parameters.
Table 1. Commercial ion sources and related performance parameters.
Ion Source TypeMain Ion Species β r   ( A m 2 s r 1 V 1 ) Δ E FWHM ( eV ) Source Spot (nm)Optional Ion Species
LMISGa+ 1 × 10 6 550–100B, Be, Sn, Au …
GFISHe+ 1 × 10 9 1 1Ne+
PlasmaXe+ (ICP) 1 × 10 4 5>400 nm Ar +   ( ICP ) ,   O 2 + (ICP),
He+ (ICP)
Table 2. 3D controllable FIB milling of semiconductive materials.
Table 2. 3D controllable FIB milling of semiconductive materials.
MaterialsStructuresSourcesApplicationsReferences
Porous-based grapheneNanoporousGa+, He+Membrane separation technology[111]
h-BNNanogratingHe+, Ne+Nanomechanical switches driven by light[112]
HSQ/SiNanogratingNe+Chemical sensing, magnetic storage[113]
SnO2/In2O3NanowiresGa+Field-effect transistors (FET)[114]
GaNMicropillarAr+FET[115]
Si3N4NanostringsGa+Nanogap electron[116]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Zhao, L.; Cui, Y.; Li, J.; Xie, Y.; Li, W.; Zhang, J. The 3D Controllable Fabrication of Nanomaterials with FIB-SEM Synchronization Technology. Nanomaterials 2023, 13, 1839. https://doi.org/10.3390/nano13121839

AMA Style

Zhao L, Cui Y, Li J, Xie Y, Li W, Zhang J. The 3D Controllable Fabrication of Nanomaterials with FIB-SEM Synchronization Technology. Nanomaterials. 2023; 13(12):1839. https://doi.org/10.3390/nano13121839

Chicago/Turabian Style

Zhao, Lirong, Yimin Cui, Junyi Li, Yuxi Xie, Wenping Li, and Junying Zhang. 2023. "The 3D Controllable Fabrication of Nanomaterials with FIB-SEM Synchronization Technology" Nanomaterials 13, no. 12: 1839. https://doi.org/10.3390/nano13121839

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop