Previous Article in Journal
Reconfigurable Dual-Band SIW Bandpass Filter with Tunable Passbands and Enhanced Stopband Suppression
Previous Article in Special Issue
Patterning Fidelity Enhancement and Aberration Mitigation in EUV Lithography Through Source–Mask Optimization
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Analysis of Dynamic Stability Control of Light Source in Immersion DUV Lithography

1
School of Integrated Circuits, University of Chinese Academy of Sciences, Beijing 101408, China
2
Institute of Microelectronics of the Chinese Academy of Sciences, Beijing 100029, China
*
Author to whom correspondence should be addressed.
Micromachines 2025, 16(11), 1207; https://doi.org/10.3390/mi16111207 (registering DOI)
Submission received: 29 September 2025 / Revised: 20 October 2025 / Accepted: 22 October 2025 / Published: 23 October 2025
(This article belongs to the Special Issue Recent Advances in Lithography)

Abstract

Immersion deep ultraviolet (DUV) lithography remains an indispensable core technology in advanced integrated circuit manufacturing, particularly when combined with multiple patterning techniques to achieve sub-10 nm feature patterning. However, at advanced technology nodes, dynamic instabilities of DUV light sources—including spectral characteristics (bandwidth fluctuations, and center wavelength drift), coherence variations, and pulse-to-pulse energy instability—can adversely affect imaging contrast, normalized image log-slope (NILS), and critical dimension (CD) uniformity. To quantitatively assess the impact of laser parameter fluctuations on NILS and CD, this work establishes systematic physical models for imaging perturbations caused by multi-parameter laser output instabilities under immersion DUV lithography. Through simulations, we evaluate the influence of laser parameter variations on the imaging fidelity of representative line/space (L/S) and tip-to-line (T2L) structures, thereby validating the proposed perturbation model. Research demonstrates that the spectral attributes (bandwidth fluctuation and center wavelength drift), coherence variations, and pulse energy instability collectively induce non-uniform electric field intensity distribution within photoresist, degrading NILS, and amplifying CD variation, which ultimately compromise pattern fidelity and chip yield. Notably, at advanced nodes, pulse energy fluctuation exerts a significantly greater influence on imaging errors compared to bandwidth and wavelength variations. To satisfy the 10% process window requirement for 45 nm linewidths, pulse energy fluctuations should be rigorously confined within 1%. This research provides theoretical foundations and practical insights for the design of dynamic stability control of light source and process optimization of next-generation DUV light sources.

1. Introduction

Driven by process maturity and cost-effectiveness, 193 nm ArF immersion lithography continues to serve as a pivotal manufacturing solution for logic devices (e.g., FinFET/GAA architectures) and high-density memory (including DRAM and 3D NAND) at 5–28 nm technology nodes [1,2,3]. As device dimensions approach fundamental physical limits, the dynamic stability of light source performance has emerged as a critical factor influencing the final pattern quality. At these advanced nodes, minute fluctuations originating from the light source can be significantly amplified through the intricate optical system, inducing increased line edge roughness and resolution degradation that directly compromise patterning fidelity.
Within lithographic scanner systems, the DUV light source—typically an ArF excimer laser—plays a significant role in determining image contrast and critical dimension (CD). Acting as the optical propagation origin, the source system delivers laser beams with sufficient energy and stable spectral characteristics to meet the stringent requirements of modern lithography. ArF excimer lasers (λ = 193 nm), the predominant DUV source, are characterized by narrow spectral linewidths (mainstream E95 ≈ 0.3 pm), high peak powers (typically 60–90 W), and short pulse widths [4,5,6,7]. Compared with extreme-ultraviolet (EUV) lithography, which has a complex process for generating high-energy photons, the DUV platform has a higher level of system maturity. Nevertheless, as the industry moves toward higher numerical apertures (e.g., 1.35 NA immersion systems) and tighter overlay budgets, imaging performance becomes markedly more sensitive to fluctuations in source parameters. Dynamic instabilities—including bandwidth fluctuation, center wavelength drift, and pulse energy variation—can propagate through the complex optical path system to the focal plane, inducing non-uniform exposure dose distribution that manifests as CD errors, pattern shift, etc., causing the lithography results to deviate from the expectation [8,9,10].
Although advanced monitoring and active feedback schemes for source parameters [11,12] have significantly enhanced source stability, dynamic output fluctuations persist as a critical constraint on imaging quality in high-volume manufacturing (HVM) environments. The evolution of resolution enhancement technologies (RETs)—such as optical proximity correction (OPC) [13,14,15], source-mask optimization (SMO), multi-pole illumination, and inverse lithography technology (ILT) [16,17,18,19,20]—has further heightened sensitivity to source instabilities. In these contexts, even minute source variations can induce illumination field non-uniformity, degrading the normalized image log-slope (NILS) and exacerbating CD variations, ultimately reducing pattern fidelity and yield. Consequently, systematic investigation of dynamic source behavior and its impact on imaging robustness has become a critical research focus for improving DUV lithographic performance at advanced nodes.
Previous studies have extensively explored parameter control in DUV source systems [21,22,23,24,25,26]. Prior research [21] demonstrated that spectral parameter variations (E95% bandwidth and spectral shape) critically affect pattern displacement at forbidden Pitches in sub-32 nm logic nodes. In ref. [22], the researchers established numerical models correlating wavelength drift with chromatic aberrations and evaluated lens sensitivity to wavelength shifts in KrF systems. Temporal and spatial coherence-induced dynamic speckle effects were analytically modeled in ref. [23], revealing that coherence contributes less significantly to linewidth roughness (LWR) than defocus-induced errors. Ref. [24] reported development of an ArF immersion XLR laser system that increased pulse energy from 10–15 mJ to 15–20 mJ to achieve ~120 W high-power output, and validated its stability under various operating conditions. However, existing studies predominantly focus on static parameter optimization (center wavelength λ0, bandwidth Δλ, etc.), lacking quantified analysis of how dynamic instabilities—bandwidth fluctuation, wavelength drift, and pulse energy variation—impact imaging at advanced nodes. Additionally, prior models primarily address ≥14 nm nodes, providing limited guidance for contemporary lithography processes.
This study aims to systematically analyze the dynamic stability of DUV light sources from a statistical perspective, specifically investigating how instability modes (spectrum, coherence, and pulse energy variation, as shown in Figure 1) degrade imaging performance under a practical lithographic background. We establish a physics-based modeling approach, clarify the transmission path of light source fluctuations in the imaging process, and quantify exposure dose errors arising from spectral, coherence, and pulse energy instabilities. Furthermore, realistic parameter stability boundaries are explored via simulation, providing critical design guidelines and optimization pathways for next-generation high-stability lithography platforms.

2. Theoretical Model

2.1. Lithographic Imaging Model

As illustrated in Figure 2, planar waves emitted from the excimer light source irradiate the photomask. These waves undergo diffraction by mask patterns, generating multiple planar wavefronts that propagate in distinct directions. Diffracted orders captured within the numerical aperture (NA) enter the projection lens via the entrance pupil. After transmission through the projection optics to the exit pupil, these diffraction orders generate planar waves that propagate to the image plane, where they interfere to form the aerial image. Under partially coherent illumination, the effective source can be decomposed into an ensemble of spatially incoherent point sources. No interference occurs between wavefronts generated by distinct point sources. Following the principle of partial coherence integration, the total image plane intensity distribution is obtained by computing the intensity contributions from each point source and summing them [27,28,29]:
I ( x ^ i , y ^ i   ) = + T C C ( f ^ , g ^ ; f ^ , g ^ ) M ( f ^ , g ^ ) M * ( f ^ , g ^ ) exp { j 2 π [ ( f ^ f ^ ) x ^ i + ( g ^ g ^ ) y ^ i ] } d f ^ d g ^ d f ^ d g ^
T C C ( f ^ , g ^ ; f ^ , g ^ ) = + P ( f ^ , g ^ )   H ( f ^ + f ^ , g ^ + g ^ ) H * ( f ^ + f ^ , g ^ + g ^ )   d f ^ d g ^
where j denotes the imaginary unit; ( x ^ i , y ^ i   ) are normalized spatial coordinates in the image plane; ( f ^ , g ^ ) and ( f ^ , g ^ ) represent normalized spatial frequency coordinates in the pupil plane and diffracted mask spectrum, respectively; and M ( f ^ , g ^ ) is the Fourier transform of the mask pattern. Under the Kirchhoff approximation for thin mask diffraction, the mask’s spectral amplitude and phase remain translation-invariant for incident planar waves. This decouples the mask function from the optical system, with the latter described by the Transmission Cross Coefficients (TCCs). Here, H ( f ^ , g ^ ) represents the projection lens transfer function:
H ( f ^ , g ^ ) = { R ( f ^ , g ^ ) T ( f ^ , g ^ ) exp [ j 2 π λ W ( f ^ , g ^ ) ] ,           f ^ 2 + g ^ 2 1                                                                 0 ,                                                                             o t h e r w i s e                
This equation encompasses NA, obliquity factor R, and wavefront aberration W, collectively modulating diffracted mask orders. P ( f ^ , g ^ ) denotes the effective source intensity distribution. For conventional circular illumination with a partial coherence factor (defined as the ratio of source radius to objective pupil radius):
P ( f ^ , g ^ ) = 1 π σ 2 c i r c ( f ^ 2 + g ^ 2 σ ) = { 1 π σ 2 ,                               f ^ 2 + g ^ 2 σ 0 ,                                       o t h e r w i s e      
Equations (1)–(4) describe lithographic imaging under monochromatic conditions. From these expressions, it is evident that the imaging outcomes in lithography systems are influenced by multiple components, including the light source, illumination system, mask, and projection system.
In a projection lithography, different wavelengths produce different focal planes. A finite spectral bandwidth may be regarded as an ensemble of monochromatic components at different wavelengths. The superposition of these monochromatic contributions affects the system focus and leads to image blurring and a reduction in resolution. In bandwidth effect research [23,24], the finite-bandwidth image intensity I i m a g e ( x ^ i , y ^ i ) is commonly modeled as the integral of monochromatic image intensities I λ 0 ( x ^ i , y ^ i ;   λ ) evaluated at multiple planes of defocus, i.e.,
I i m a g e ( x ^ i , y ^ i ) = I λ 0 ( x ^ i , y ^ i ;   λ ) · S ( λ ) d λ
where S ( λ ) is the spectral shape of the output laser. The spectral shape S ( λ ) is typically well approximated by a Gaussian function, for example:
S g a u s s ( λ ) = 1 2 π v exp ( ( λ λ 0 ) 2 2 v 2 ) ,   v = λ 2 2 ln 2
where λ0 is the nominal central wavelength and ∆λ characterizes the spectral width.

2.2. Impact of Light Source System Stability on Lithographic Imaging

2.2.1. Analysis of Spectral Characteristic Stability Variations

Wavelength and bandwidth stability constitute critical attributes of pulsed lasers. Owing to the pulse emission nature of excimer lasers, consistency between laser pulses cannot be guaranteed. Research indicates [23,24] that fluctuations in laser output parameters (e.g., wavelength and bandwidth) predominantly follow a normal distribution. Consequently, parameters of the normal distribution ( μ ,   σ 2 ) can characterize light source system stability. Assuming wavelength and bandwidth stability variations adhere to independent normal distributions: λ ~ N ( μ λ ,   σ λ 2 ) ; Δ λ ~ N ( μ λ ,   σ Δ λ 2 ) .
According to the finite-bandwidth lithographic imaging formulation (Equations (5) and (6)), variations in spectral characteristics (wavelength λ and bandwidth Δλ) induce alterations in light intensity, generating a sequence of intensity distributions (I1, …, In). The temporal accumulation of intensity forms the exposure dose D = 0 t I ( t ) d t . Variations in intensity distribution cause fluctuations in received exposure dose on the wafer, thereby affecting lithographic imaging. In DUV systems, the exposure dose relates to the scanner velocity V and the number of received pulses N, and may be summarized as
D s c a n ( x , y ) = n = 1 N 1 V ( y n ) I n ( x , y y n ) d y n
where yn is the scan position for the nth pulse. For analytical simplicity we assume a constant scan speed V, then the accumulated dose at a spatial point (x, y) can be approximated by
D s c a n ( x , y ) 1 V n = 1 N I n ¯
Here, we assume the average intensity I t ¯ at the point under nominal conditions ( λ t ,   λ t ) accumulates target dose Dt. Dynamic spectral variations (λn, Δλn) cause intensity variations (I1, …, In), leading to dynamic exposure doses (D1, …, Dm). Owing to dynamic spectral variations, the instantaneous intensity sequence becomes (I1, …, In) and the actual doses received on the wafer form a stochastic sequence (D1, …, Dm). The dose accumulated as a function of the spectral variations (λn, Δλn) may be written as
D λ = 1 V n = 1 N I n ¯ ( λ n ,   Δ λ n )
Since λn and Δλn are independent and identically distributed random variables, I n ¯ is also stochastic. According to the probability theory, the variance of Dλ can be expressed as
V a r ( D λ ) = N V 2 V a r ( I n ¯ )
Under the finite-bandwidth imaging model (Equations (5) and (6)), I n ¯ is generally a nonlinear function of λ and Δλ. For small perturbations, we may use a first-order Taylor expansion about the nominal operating point ( λ t , Δ λ t ) . Denoting I t ¯ = I ( λ t , Δ λ t ) and δ λ n = λ n λ t ,   δ Δ λ n = Δ λ n Δ λ t , we have Equation (11).
I n ¯ I t ¯ + I ¯ λ δ λ n + I ¯ Δ λ n δ Δ λ n + o n
Substituting Equation (11) into Equation (10) and retaining only first-order contributions yields the following:
V a r ( D λ ) N V 2 [ ( I ¯ λ ) 2 σ λ 2 + ( I ¯ Δ λ ) 2 σ Δ λ 2 ]
where σ λ 2 and σ Δ λ 2 are the variances of λn and Δλn, respectively. Therefore, the relative standard deviation of the exposure dose at a given wafer point due to spectral stability variations can be expressed as
Δ λ , Δ λ = σ D 1 D t 1 N 1 I t ( I ¯ λ ) 2 σ λ 2 + ( I ¯ Δ λ ) 2 σ Δ λ 2
Equation (13) quantifies how wavelength and bandwidth stability impact dose variability on the wafer plane.

2.2.2. Analysis of Coherence-Induced Imaging Effects

Optical coherence comprises temporal and spatial components. Temporal coherence quantifies the correlation of a light wave with itself at a spatial point across different times. For excimer lasers, temporal coherence inversely correlates with spectral width via τc = 1/∆ν, where τc is the coherence time and ∆ν is the spectral width in frequency units (the conversion between frequency-domain and wavelength-domain spectral widths is Δ ν = c λ 2 · λ ). Longer τc indicates superior phase stability over time. Spatial coherence reflects correlation between different spatial points at one time [30]. Larger coherence areas imply sustained phase uniformity over broader regions. Both temporal and spatial coherence of the laser influence lithographic imaging. Higher temporal coherence (narrower spectral bandwidth) tends to produce sharper interference fringes, which is beneficial for faithful transfer of fine patterns [31,32].
As per dynamic speckle theory [23], laser coherence induces intensity inhomogeneity (speckle) at the image plane, causing dose variation. The statistics of speckle can be described by introducing a temporal degree of freedom M, defined as the ratio of the square of the expected integrated intensity per unit area to the variance of the integrated intensity. Assuming that the laser spectral shape is Gaussian, S g a u s s ( λ ) , the temporal degree of freedom under this spectral model can be computed as
M = D t 2 σ d 2 = π 2 4 ( ln 2 ) 2 ( c Δ T F W H M · Δ λ λ 0 2 ) 2 + 1
Δ T F W H M denotes the full width at half-maximum (FWHM) of the pulse temporal duration. Considering the regime where c Δ T F W H M · Δ λ λ 0 2 1 , the temporal degree of freedom M can be approximated by the following expression:
M π 2 ln 2 · c Δ T F W H M · Δ λ λ 0 2
Relative dose fluctuation due to coherence is
σ d D t = 1 M 2 ln 2 π · λ 0 c Δ T F W H M · Δ λ
From Equation (16), it follows that σ d D t λ 0 Δ λ · 1 Δ T F W H M , which indicates that, under static conditions (i.e., when the output spectral characteristics of the source remain constant), the dose fluctuations induced by source coherence are inversely related to the spectral bandwidth. In this regime, increasing the bandwidth effectively reduces the amplitude of dose fluctuations caused by source coherence.
Dynamic spectral variation ( λ ~ N ( μ λ ,   σ λ 2 ) , Δ λ ~ N ( μ λ ,   σ Δ λ 2 ) ) simultaneously changes speckle statistics M, altering coherence-driven dose (D1, …, Dm) coherence. By analyzing the statistical properties of the coherence distribution (D1, …, Dm) coherence, we can extract the characteristic dose-variation signatures induced by source coherence under dynamic source behavior. Equation (17) can be written as
O ( Δ λ ) = 1 M ( Δ λ ) ,   M ( Δ λ ) K · Δ λ ,   K = π 2 ln 2 · c T F W H M λ 2
Therefore, the variance V a r ( D c ) can be reduced to the problem of determining the variance of the function O = K 1 / 2 · x 1 / 2 . According to the statistical formula, we can derive the expression for V a r ( D c ) (the detailed algebraic derivation is provided in Appendix A):
V a r ( O ) = 1 4 s 0 2 ( σ Δ λ μ Δ λ ) 2 ,   s 0 = 2 ln 2 π λ c Δ T μ Δ λ
c = σ D c D c = s 0 2 · σ Δ λ μ Δ λ

2.2.3. Analysis of Pulse Energy Stability-Induced Imaging Effects

ArF excimer lasers emit not as a continuous wave but as a series of short pulses. Each pulse is produced by a rapid, transient release of energy within the laser. Individual pulses have high energy density and can deliver the required dose to the photoresist in a very short time. These pulses are typically organized into sequences known as “Bursts.” A “Burst” sequence contains a fixed number of pulses that are emitted consecutively at a specified repetition frequency. The “Burst” mode is designed to optimize energy distribution and thermal management while maintaining beam quality and required output characteristics for various lithographic steps [6].
This operating mode enables efficient energy management and heat control while preserving output energy and beam quality. The laser energy parameters relevant to this mode include average power, repetition frequency, and single-pulse energy. The average power is the time-averaged energy output of the laser during continuous operation, and it directly affects throughput: higher average power permits delivery of sufficient exposure energy in less time, increasing productivity, but excessive average power risks over-exposure and degraded imaging fidelity. For a fixed total energy output over a given time interval, the single-pulse energy can be adjusted by changing the pulse repetition frequency. Consequently, the single-pulse energy and its stability directly determine the stability of the delivered exposure dose and strongly influence the post-exposure pattern accuracy.
The exposure dose per unit area on the wafer can be expressed as the total energy contributed by the N pulses used to expose the slit (from the jth pulse to the (N + j − 1)th pulse) divided by the exposed area A, i.e.,
D p = 1 A i = j N + j   -   1 E i
Assume the single-pulse energies are independent and identically distributed random variables, E p l u s e ~ ( μ E , σ E 2 ) , and the variation of exposure doses due to pulse energy fluctuations is ( D p 1 , …, D pn ). The variance V a r ( D p ) is
V a r ( D p ) = 1 A i = j N + j   -   1 V a r ( E i ) = N A . σ E 2
Then, the dose fluctuation caused by pulse dose stability can be expressed as
Δ p = σ D p D t = 1 A · N · σ E μ E
Finally, if we assume that the three instability mechanisms treated in this work—(i) spectral characteristic fluctuations, (ii) coherence-induced speckle variability, and (iii) pulse energy fluctuations—are mutually independent, then the total dose variability at a given wafer location can be obtained by summing the contributions in variance (or equivalently combining relative fluctuations in quadrature). Denoting the relative standard deviations due to spectral effects, coherence, and pulse energy by Δ λ , Δ λ , c , and Δ p , respectively, the overall relative dose fluctuation is
t o t a l 2 = 1 N · I t 2 [ ( I ¯ λ ) 2 σ λ 2 + ( I ¯ Δ λ ) 2 σ Δ λ 2 ] + 1 4 s 0 2 ( σ Δ λ μ Δ λ ) 2 + 1 A · N · ( σ E μ E ) 2

3. Results and Discussion

3.1. Simulation Scheme Design

We consider the operating conditions of the excimer light source in an immersion DUV scanner in normal operation. The excimer laser operates in pulsed mode (typical repetition rate: 6 kHz). The spatial information encoded on the mask is carried by each pulse, relayed through the optical system, and projected onto the wafer via a dynamically scanned slit. Pattern transfer occurs when the accumulated dose per unit area reaches the threshold of the resist. During this process, variations in the source-system stability lead to pulse-to-pulse changes in the emitted laser characteristics. As established in Section 2, key output parameters—bandwidth, wavelength, and pulse energy stability—are modeled as normally distributed random variables described by μ and σ to emulate realistic laser output conditions in production. Rigorous lithography simulations were carried out using the commercial simulator S-Litho™ (Version 2024.09).
(1)
Exposure Settings and Test Patterns
Table 1 summarizes pertinent parameters for the DUV lithography simulation. Test mask patterns include representative one-dimensional line/space (L/S) and two-dimensional tip-to-line (T2L) structures from integrated circuit metal interconnect layers. Multiple stochastic simulations were executed for each spectral distribution (μ, σ). Image quality was evaluated using two metrics: CD error (the absolute deviation of CD under perturbed source conditions from the CD under nominal conditions) and the normalized image log-slope (NILS). Line-edge/width roughness (LER/LWR) was not considered. This study exclusively examines image shift, NILS, and CD variation induced by source stability fluctuations.
(2)
Laser Stability Parameters
Reference nominal operating values for the excimer laser were chosen as a spectral bandwidth of 300 fm (≈0.3 pm) and a center wavelength of 193.0 nm. These nominal values (μ) serve as the baseline and a set of stability parameter variations (σ)—including bandwidth changes, wavelength drifts and pulse energy fluctuations—was defined to study their effects on exposure dose variation and imaging performance. The detailed laser parameter stability conditions are listed in Table 2. Because this work focuses on source-system stability, all variables other than the source parameters were held ideal and constant.

3.2. Simulation and Analysis

3.2.1. Impact of Spectral Parameter Fluctuations on Aerial Images

Equations (5) and (6) demonstrate that variations in spectral characteristics (wavelength λ, and bandwidth λ ) alter intensity distribution I (x, y) on the wafer. Figure 3 and Figure 4 illustrate the relationship between 2D aerial image intensity distribution and σ Δ λ changes for two representative structures (L/S and T2L) under different bandwidths. When using the intensity threshold under nominal spectral conditions ( λ = λ t ,   Δ λ = λ t , and   =   0   f m ) as the exposure threshold, σ Δ λ changes induce CD variations. Here, ΔCD shows a positive correlation with σ Δ λ , confirming the influence of laser spectral instability on lithographic imaging. Comparing intensity distributions under baseline bandwidths ( λ = 200 fm vs. 300 fm), bandwidth stability variations at Δλ = 300 fm exert a stronger impact on aerial image intensity than those at Δλ = 200 fm.
In lithographic imaging, the normalized image log-slope (NILS = w dlnI/dx, where w is the normalization coefficient) quantifies the steepness of the image edge transition from dark to bright. Larger NILS indicates a steeper dark-to-bright transition and hence sharper edge definition. Figure 5 presents extracted NILS values from 2D intensity distributions for dense, semi-dense, and sparse patterns of L/S and T2L structures. As bandwidth fluctuations increase (higher σ Δ λ ), NILS monotonically decreases, degrading edge exposure fidelity and blurring the image. While NILS magnitudes differ across patterns, the declining trend remains consistent.
From a large set of simulations, we obtained distributions of the simulated aerial-image critical dimension (AI-CD) and characterized these distributions by normal statistics (μ, σ). Figure 6 shows representative AI-CD distributions for both test patterns under Δλ = 300 fm and σ Δ λ = 100 fm. For sparse line structures (Pitch = 150 nm), CD fluctuations ( σ C D ) caused by spectral instabilities significantly exceed those in dense/semi-dense patterns. Figure 7 plots the relationship between σ C D and σ Δ λ for dense patterns (Pitch = 90 nm) with linear fitting. It reveals that worsening bandwidth stability increases CD variations in both L/S and T2L structures, with an approximately linear relationship σ Δ λ σ C D . At the dense Pitch (Pitch = 90 nm), 2D patterns ( σ C D = 0.13 nm) exhibit greater sensitivity than 1D lines ( σ C D = 0.0094 nm). Comparing Figure 6a,b, L/S structures show larger CD variation amplification ( σ C D : 0.0094 → 0.40 nm) than T2L structures ( σ C D : 0.13 → 0.28 nm) when transitioning from dense (Pitch = 90 nm) to sparse (Pitch = 150 nm) patterns. This indicates that pattern topology and density substantially change the lithographic performance sensitivity to spectral stability fluctuations.

3.2.2. Impact of Pulse Stability on Lithographic Results

Fluctuations in single-pulse energy during exposure alter the dose delivered to the resist, affecting pattern linewidth (ADI-CD). Figure 8 illustrates ADI-CD variations under dose changes for L/S and T2L mask structures. As shown in Figure 8a, without applying OPC, pulse energy fluctuations are affected by the pattern structure: ADI-CD variations in dense structures (Pitch < 110 nm) are significantly smaller than in sparse patterns. For a 45 nm linewidth with Pitch = 150 nm, a 0.5% pulse energy change induces ~1 nm ADI-CD shift. For 2D T2L structures in the Pitch < 150 nm range, Gap variations (Figure 8b) remain consistent (~0.7 nm) under pulse energy changes.
To quantify the contribution of pulse energy stability to CD error, we fitted the simulated data with linear regressions and obtained excellent fits (R2 ≈ 0.99), as plotted in Figure 9. The results show an approximately linear dependence of ADI-CD on pulse energy variation. Under the simulated conditions, the CD error for L/S patterns driven by pulse energy fluctuations remains below 3 nm. For T2L patterns, the CD error induced by pulse energy instability can exceed 5 nm, thereby breaching typical exposure latitude (EL) specifications (i.e., <10% exposure latitude for the advanced node). To satisfy multi-pattern (1D/2D) requirements, pulse energy fluctuations should be maintained below 1%. Comparing the CD error trends for baseline bandwidths of 200 fm and 300 fm in Figure 9, this effect is principally attributable to coherence changes. As discussed in Section 2.2.2, temporal coherence is inversely related to bandwidth—narrower bandwidth yields stronger temporal coherence and more pronounced speckle. Equations (14)–(16) reveal that temporal degree of freedom M ∝ Δλ; higher M diminishes field strength fluctuations, reducing CD errors. Therefore, increasing bandwidth during laser design mitigates coherence-related lithographic effects.
As established in Section 2.2.1, spectral parameter variations ( Δ λ , Δ λ ) modify intensity distribution I(x, y), affecting local dose accumulation. Likewise, speckle effects (Δc) from source coherence and pulse energy instability (Δp) both contribute to dose fluctuations. Using a control of variables approach, we isolated the effects of spectral shape, coherence, and pulse energy stability on lithographic outcomes and quantified their relative contributions. Figure 10 summarizes the contributions of each source parameter instability to the total CD error for L/S and T2L patterns at Pitch = 90 nm. Results confirm that pulse energy instability dominates lithographic effects over bandwidth drift and coherence variations. Consequently, optimizing pulse energy stability should be prioritized in next-generation excimer laser development for advanced immersion lithography.

4. Conclusions

This study establishes a theoretical model quantifying lithographic impacts of stability parameter variations (spectral characteristics, coherence, and pulse energy) in excimer lasers for advanced-node immersion lithography. Simulations incorporating actual laser parameter shifts (μ, σ) during DUV lithography exposure were conducted using CD = 45 nm L/S and T2L test patterns. Spectral fluctuation effects ( σ Δ λ , σ λ ) on aerial image intensity and NILS across multiple Pitches were investigated. Additionally, the photoresist model was included to evaluate the ADI-CD variations induced by pulse energy instability. Simulation results indicate that spectral variations cause CD errors within acceptable limits, whereas pulse energy instability exerts significantly stronger lithographic influence. For 45 nm linewidths, pulse energy-induced dose fluctuations must be confined to < 1%. We further observe that, for certain 2D patterns, careful tuning of spectral parameters ( λ ,   λ ) can partially mitigate pulse energy effects. As technology nodes continue to shrink, laser parameter stability emerges as a critical factor for imaging fidelity, warranting deeper investigation. By elucidating the causality between light source instabilities and lithographic errors, this work provides a valuable reference for excimer laser development and process monitoring parameter optimization in advanced node manufacturing.

Author Contributions

Methodology, D.H.; Investigation, S.D.; Data curation, C.W.; Writing—original draft, Y.Z.; Supervision, Y.W. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Scientific Research Foundation of the University of Chinese Academy of Sciences (No. 118900M032) and China Fundamental Research Funds for the Central Universities (Grant No. E5423802).

Data Availability Statement

The data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.

Conflicts of Interest

The authors declare no conflicts of interest.

Appendix A

Derivation of Formula (18):
Objective function:
O = K 1 / 2 · x 1 / 2 ,   K = π 2 ln 2 · c T F W H M λ 2 ,   x = Δ λ    
The bandwidth Δλ satisfies the normal distribution, i.e., Δ λ ~ N ( μ λ ,   σ Δ λ 2 ) . According to the statistical variance calculation formula, the variance V a r [ O ] can be given by the expectation E [ O 2 ] and E [ O ] 2 .
Step 1: Calculate E [ O 2 ]
O 2 = K 1 · x 1 ,   x = Δ λ
At x = μ Δ λ , perform Taylor expansion on the function O 2 :
E [ O 2 ] K 1 [ μ Δ λ 1 μ Δ λ 2 E [ x μ Δ λ ] + μ Δ λ 3 E [ ( x μ Δ λ ) 2 ]
Substitute E [ x μ Δ λ ] = E [ λ ] μ Δ λ = 0 and E [ ( x μ Δ λ ) 2 ] = σ Δ λ 2 , and we receive
E [ O 2 ] = K 1 μ Δ λ 1 ( 1 + σ Δ λ 2 μ Δ λ 2 )
Step 2: Calculate E [ O ] 2
Squaring E [ O ] , ignoring the effects of the higher-order term σ Δ λ 4 for small perturbations:
E [ O ] 2 ( K 1 μ Δ λ 1 ) ( 1 + 3 4 σ Δ λ 2 μ Δ λ 2 )
Step 3: Calculate the variance
According to the formula V a r ( O ) =   E [ O 2 ] E [ O ] 2 , we can obtain
V a r ( O ) = 1 4 ( K 1 μ Δ λ 1 ) · σ Δ λ 2 μ Δ λ 2 = 1 4 s 0 2 ( σ Δ λ μ Δ λ ) 2 ,   s 0 = ( K · μ λ ) 1 / 2 = 2 ln 2 π λ c Δ T μ Δ λ

References

  1. Van Den Brink, M.; Yen, A.; Van Wijnen, P.; Lercel, M.; Sluijk, B. Holistic Patterning to Advance Semiconductor Manufacturing in the 2020s and Beyond. In Proceedings of the 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Honolulu, HI, USA, 13–17 June 2022; pp. 3–7. [Google Scholar]
  2. Awad, A.; Brendel, P.; Evanschitzky, P.; Woldeamanual, D.S.; Rosskopf, A.; Erdmann, A. Accurate Prediction of EUV Lithographic Images and 3D Mask Effects Using Generative Networks. J. Micro/Nanopatterning Mater. Metrol. 2021, 20, 043201. [Google Scholar] [CrossRef]
  3. Pan, D.Z.; Liebmann, L.; Yu, B.; Xu, X.; Lin, Y. Pushing Multiple Patterning in Sub-10nm: Are We Ready? In Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, 7–11 June 2015; pp. 1–6. [Google Scholar]
  4. Ohta, T.; Ishida, K.; Kumazaki, T.; Tsushima, H.; Kurosu, A.; Kakizaki, K.; Matsunaga, T.; Mizoguchi, H. 120 W ArF Laser with High-Wavelength Stability and Efficiency for the next-Generation Multiple-Patterning Immersion Lithography. In Proceedings of the Optical Microlithography XXVIII, San Jose, CA, USA, 18 March 2015; p. 94261J. [Google Scholar]
  5. Cacouris, T.; Conley, W.; Thornes, J.; Bibby, T.; Melchior, J.; Aggarwal, T.; Gross, E. New ArF Immersion Light Source Introduces Technologies for High-Volume 14nm Manufacturing and Beyond. In Proceedings of the Optical Microlithography XXVIII, San Jose, CA, USA, 18 March 2015; p. 942618. [Google Scholar]
  6. Ishida, K.; Ohta, T.; Miyamoto, H.; Kumazaki, T.; Tsushima, H.; Kurosu, A.; Matsunaga, T.; Mizoguchi, H. The ArF Laser for the Next-Generation Multiple-Patterning Immersion Lithography Supporting Green Operations. In Proceedings of the Optical Microlithography XXIX, San Jose, CA, USA, 15 March 2016; p. 978010. [Google Scholar]
  7. Cao, D.; Dong, H.; Zeng, Z.; Zhang, W.; Li, X.; Yu, H. Thermal Control Systems in Projection Lithography Tools: A Comprehensive Review. Micromachines 2025, 16, 880. [Google Scholar] [CrossRef] [PubMed]
  8. Kurosu, A.; Nakano, M.; Yashiro, M.; Yoshino, M.; Tsushima, H.; Masuda, H.; Kumazaki, T.; Matsumoto, S.; Kakizaki, K.; Matsunaga, T.; et al. A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography. In Proceedings of the Optical Microlithography XXV, San Jose, CA, USA, 13 March 2012; p. 83261F. [Google Scholar]
  9. Alagna, P.; Biesemans, S.; Nafus, K.; Lorusso, G.F.; Rechtsteiner, G.; Conley, W. Tunable Bandwidth for Application-Specific SAxP Process Enhancement. In Proceedings of the Optical Microlithography XXXI, San Jose, CA, USA, 20 March 2018; p. 1058705. [Google Scholar]
  10. Trintchouk, F.; Ishihara, T.; Gillespie, W.; Ness, R.; Bergstedt, R.; Wittak, C.; Perkins, R. XLA-300: The Fourth-Generation ArF MOPA Light Source for Immersion Lithography. In Proceedings of the Optical Microlithography XIX, San Jose, CA, USA, 15 March 2006; p. 615423. [Google Scholar]
  11. Burdt, R.; Thornes, J.; Duffey, T.; Bibby, T.; Rokitski, R.; Mason, E.; Melchior, J.; Aggarwal, T.; Haran, D.; Wang, J.; et al. Flexible Power 90 W to 120 W ArF Immersion Light Source for Future Semiconductor Lithography. In Proceedings of the Optical Microlithography XXVII, San Jose, CA, USA, 31 March 2014; p. 90522K. [Google Scholar]
  12. Rokitski, R.; Ishihara, T.; Rao, R.; Jiang, R.; Haviland, M.; Cacouris, T.; Brown, D. High Reliability ArF Light Source for Double Patterning Immersion Lithography. In Proceedings of the Optical Microlithography XXIII, San Jose, CA, USA, 3 March 2010; p. 76401Q. [Google Scholar]
  13. Feng, Y.; Liu, J.; Song, Z.; Jiang, H.; Liu, S. Efficient Measurement and Optical Proximity Correction Modeling to Catch Lithography Pattern Shift Issues of Arbitrarily Distributed Hole Layer. Front. Mech. Eng. 2024, 19, 24. [Google Scholar] [CrossRef]
  14. Chen, Y.-Y.; Chang, K.-H.; Cheng, W.-L.; Tang, Y.-P. Curvilinear Mask Handling in OPC Flow. J. Micro/Nanopatterning Mater. Metrol. 2023, 23, 011203. [Google Scholar] [CrossRef]
  15. Wu, R.; Dong, L.; Wei, Y. Method for Optical Proximity Correction Based on a Vector Imaging Model. Appl. Opt. 2024, 63, 2719. [Google Scholar] [CrossRef] [PubMed]
  16. Wang, Q.; Wu, Q.; Li, Y.; Liu, X.; Li, Y. Patterning Fidelity Enhancement and Aberration Mitigation in EUV Lithography Through Source–Mask Optimization. Micromachines 2025, 16, 1166. [Google Scholar] [CrossRef]
  17. Peng, F.; Xu, Y.; Song, Y.; Gui, C.; Zhao, Y. Source and Mask Optimizing with a Defocus Antagonism for Process Window Enhancement. Opt. Express 2022, 30, 36429. [Google Scholar] [CrossRef] [PubMed]
  18. Liu, J.; Zhou, J.; Yu, D.; Sun, H.; Hu, S.; Wang, J. The Inverse Optimization of an Optical Lithographic Source with a Hybrid Genetic Algorithm. Appl. Sci. 2023, 13, 5708. [Google Scholar] [CrossRef]
  19. Sun, H.; Du, J.; Jin, C.; Quan, H.; Li, Y.; Tang, Y.; Wang, J.; Hu, S.; Liu, J. Global Optimisation of Source and Mask in Inverse Lithography via Tabu Search Combined with Genetic Algorithm. Opt. Express 2022, 30, 24166. [Google Scholar] [CrossRef] [PubMed]
  20. Granik, Y. Qualification of Structured Curvilinear ILT Assist Features for Production. J. Micro/Nanopatterning Mater. Metrol. 2024, 23, 021302. [Google Scholar] [CrossRef]
  21. Peng, R.C.; Lee, H.J.; Lin, J.; Lin, A.; Chang, A.; Lin, B.S.-M. Laser Spectrum Requirements for Tight CD Control at Advanced Logic Technology Nodes. In Proceedings of the Optical Microlithography XXIII, San Jose, CA, USA, 3 March 2010; p. 76402C. [Google Scholar]
  22. Conley, W.; Hsieh, S.; Alagna, P.; Hou, Y.; Martinez, P. Impact of Bandwidth on Contrast Sensitive Structures for Low K1 Lithography. In Proceedings of the Optical Microlithography XXVIII, San Jose, CA, USA, 18 March 2015; p. 942607. [Google Scholar]
  23. Bengtsson, J. Dynamic Laser Speckle as a Detrimental Phenomenon in Optical Projection Lithography. J. Micro/Nanolithography MEMS MOEMS 2006, 5, 033004. [Google Scholar] [CrossRef]
  24. Rokitski, R.; Rafac, R.; Melchior, J.; Dubi, R.; Thornes, J.; Cacouris, T.; Haviland, M.; Brown, D. High Power 120 W ArF Immersion XLR Laser System for High Dose Applications. In Proceedings of the Optical Microlithography XXVI, San Jose, CA, USA, 12 April 2013; p. 86831H. [Google Scholar]
  25. Smith, M.; Bendik, J.; Lalovic, I.; Farrar, N.; Howard, W.; Sallee, C. Modeling and Performance Metrics for Longitudinal Chromatic Aberrations, Focus-Drilling, and Z-Noise: Exploring Excimer Laser Pulse-Spectra. In Proceedings of the Optical Microlithography XX, San Jose, CA, USA, 27 March 2007; p. 65203E. [Google Scholar]
  26. Lalovic, I. Impact of Finite Laser Bandwidth on the Critical Dimension of L/S Structures. J. Micro/Nanolithography MEMS MOEMS 2008, 7, 033001. [Google Scholar] [CrossRef]
  27. Ma, X.; Han, C.; Li, Y.; Dong, L.; Arce, G.R. Pixelated Source and Mask Optimization for Immersion Lithography. J. Opt. Soc. Am. A 2013, 30, 112. [Google Scholar] [CrossRef] [PubMed]
  28. Wang, J.; Su, X.; Dong, L.; Fan, T.; Wei, Y. Dynamic Budget Analysis of Multiple Parameters in a Lithography System Based on the Superposition of Light Intensity Fluctuations. Opt. Express 2024, 32, 5323. [Google Scholar] [CrossRef] [PubMed]
  29. Peng, D.; Hu, P.; Tolani, V.; Dam, T.; Tyminski, J.; Slonaker, S. Toward a Consistent and Accurate Approach to Modeling Projection Optics. In Proceedings of the Optical Microlithography XXIII, San Jose, CA, USA, 11 March 2010; p. 76402Y. [Google Scholar]
  30. Farahiyan, M.; Aalipour, R. Assessing the Impact of Spatial Coherence on the Sinusoidal Linear Fresnel Zone Plate’s Depth of Focus. Sci. Rep. 2024, 14, 29896. [Google Scholar] [CrossRef] [PubMed]
  31. Wan, Z.; Yessenov, M.; Padgett, M.J. The Propagation Speed of Optical Speckle. Sci. Rep. 2023, 13, 9071. [Google Scholar] [CrossRef] [PubMed]
  32. Buijs, J.; Gucht, J.V.D.; Sprakel, J. Fourier Transforms for Fast and Quantitative Laser Speckle Imaging. Sci. Rep. 2019, 9, 13279. [Google Scholar] [CrossRef] [PubMed]
Figure 1. Schematic diagram of lithography imaging affected by changes in the stability of main parameters (spectral characteristics, coherence, and pulse energy) of the light source system.
Figure 1. Schematic diagram of lithography imaging affected by changes in the stability of main parameters (spectral characteristics, coherence, and pulse energy) of the light source system.
Micromachines 16 01207 g001
Figure 2. Schematic diagram of incident light propagation in the lithography system [25].
Figure 2. Schematic diagram of incident light propagation in the lithography system [25].
Micromachines 16 01207 g002
Figure 3. Two-dimensional aerial image intensity variations induced by spectral bandwidth fluctuations for L/S structures with CD = 45 nm and Pitch = 150 nm. (ac): Δλ = 200 fm, (df): Δλ = 300 fm. The black dashed lines denote the two ends of the line structures on the mask pattern, while the red dashed lines indicate the intensity threshold corresponding to a printed linewidth of 45 nm after exposure. The transparent yellow arrows point to the magnified regions marked by the red rectangles in panels (b) or (e), which are shown in panels (a), (c), or (d), (f), respectively. In panels (a), (c), or (d), (f), the star symbols in different colors indicate the actual positions of the two ends of the line structures at the intensity threshold.
Figure 3. Two-dimensional aerial image intensity variations induced by spectral bandwidth fluctuations for L/S structures with CD = 45 nm and Pitch = 150 nm. (ac): Δλ = 200 fm, (df): Δλ = 300 fm. The black dashed lines denote the two ends of the line structures on the mask pattern, while the red dashed lines indicate the intensity threshold corresponding to a printed linewidth of 45 nm after exposure. The transparent yellow arrows point to the magnified regions marked by the red rectangles in panels (b) or (e), which are shown in panels (a), (c), or (d), (f), respectively. In panels (a), (c), or (d), (f), the star symbols in different colors indicate the actual positions of the two ends of the line structures at the intensity threshold.
Micromachines 16 01207 g003
Figure 4. Two-dimensional aerial image intensity variations induced by spectral bandwidth fluctuations for T2L structures with CD = 45 nm and Pitch = 150 nm. (ac): Δλ = 200 fm, (df): Δλ = 300 fm. The black dashed lines denote the two ends of the line structures on the mask pattern, while the red dashed lines indicate the intensity threshold corresponding to a printed linewidth of 45 nm after exposure. The transparent yellow arrows point to the magnified regions marked by the red rectangles in panels (b) or (e), which are shown in panels (a), (c), or (d), (f), respectively. In panels (a), (c), or (d), (f), the star symbols in different colors indicate the actual positions of the two ends of the line structures at the intensity threshold.
Figure 4. Two-dimensional aerial image intensity variations induced by spectral bandwidth fluctuations for T2L structures with CD = 45 nm and Pitch = 150 nm. (ac): Δλ = 200 fm, (df): Δλ = 300 fm. The black dashed lines denote the two ends of the line structures on the mask pattern, while the red dashed lines indicate the intensity threshold corresponding to a printed linewidth of 45 nm after exposure. The transparent yellow arrows point to the magnified regions marked by the red rectangles in panels (b) or (e), which are shown in panels (a), (c), or (d), (f), respectively. In panels (a), (c), or (d), (f), the star symbols in different colors indicate the actual positions of the two ends of the line structures at the intensity threshold.
Micromachines 16 01207 g004
Figure 5. NILS variations caused by spectral bandwidth fluctuations. (a) NILS for L/S patterns at dense (90 nm), semi-dense (120 nm), and sparse (150 nm) Pitches; (b) NILS for T2L patterns at dense (90 nm), semi-dense (120 nm), and sparse (150 nm) Pitches.
Figure 5. NILS variations caused by spectral bandwidth fluctuations. (a) NILS for L/S patterns at dense (90 nm), semi-dense (120 nm), and sparse (150 nm) Pitches; (b) NILS for T2L patterns at dense (90 nm), semi-dense (120 nm), and sparse (150 nm) Pitches.
Micromachines 16 01207 g005
Figure 6. AI-CD distributions under Δλ = 300 fm and σ Δ λ = 100 fm. (a) L/S patterns (Pitches: 90/120/150 nm); (b) T2L patterns (Gap = 60 nm, Pitches: 90/120/150 nm).
Figure 6. AI-CD distributions under Δλ = 300 fm and σ Δ λ = 100 fm. (a) L/S patterns (Pitches: 90/120/150 nm); (b) T2L patterns (Gap = 60 nm, Pitches: 90/120/150 nm).
Micromachines 16 01207 g006
Figure 7. AI-CD variation induced by different spectral distributions. (a) L/S pattern (Pitch = 90 nm); (b) T2L pattern (Gap = 60 nm, Pitches = 90 nm).
Figure 7. AI-CD variation induced by different spectral distributions. (a) L/S pattern (Pitch = 90 nm); (b) T2L pattern (Gap = 60 nm, Pitches = 90 nm).
Micromachines 16 01207 g007
Figure 8. Relationship between ADI-CD and dose changes (caused by pulse energy variation) for different pattern geometries (Δλ = 300 fm, σ Δ λ = 100 fm). (a) The line structures of L/S; (b) the Gap structures of T2L.
Figure 8. Relationship between ADI-CD and dose changes (caused by pulse energy variation) for different pattern geometries (Δλ = 300 fm, σ Δ λ = 100 fm). (a) The line structures of L/S; (b) the Gap structures of T2L.
Micromachines 16 01207 g008
Figure 9. ADI-CD error induced by dose fluctuations at Pitch = 90 nm ( μ E = 102%, σ E = 2%). (a): L/S; (b): T2L. In panel (b), the star markers indicate the allowable exposure dose variation corresponding to an exposure latitude of 10%.
Figure 9. ADI-CD error induced by dose fluctuations at Pitch = 90 nm ( μ E = 102%, σ E = 2%). (a): L/S; (b): T2L. In panel (b), the star markers indicate the allowable exposure dose variation corresponding to an exposure latitude of 10%.
Micromachines 16 01207 g009
Figure 10. Contribution of light source parameter instabilities to CD error at Pitch = 90 nm.
Figure 10. Contribution of light source parameter instabilities to CD error at Pitch = 90 nm.
Micromachines 16 01207 g010
Table 1. The experimental conditions.
Table 1. The experimental conditions.
Process Parameters
Illimitation conditioncQuad: σout = 0.96, σinner = 0.65, blade angle = 20 °
NA1.35
ProcessPTD
Mask typeBright field and 6% attenuated PSM
Test pattern: Line/Space
Micromachines 16 01207 i001CD: 45 nm;
Pitch: 90, 95, 100, 110, 120, 150, 200, 300, 500 nm
Test pattern: Tip to Line
Micromachines 16 01207 i002CD: 45 nm;
Gap: 60, 65, 70 nm;
Pitch: 90, 100, 110, 120, 150 nm;
Table 2. The excimer laser parameter stability conditions.
Table 2. The excimer laser parameter stability conditions.
Laser Parameter Stability
Bandwidth distribution change μ Δ λ 300, 200 fm
σ Δ λ 0, 25, 50, 75, 100 fm
Central wavelength change μ λ 193 nm
σ λ 0, 1, 2 pm
Pulse energy variation μ E 102% (Assume that the deviation caused by the dose control of the light source system is 2%)
σ E 0.5, 1, 1.5, 2%
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Zhu, Y.; Han, D.; Wu, C.; Deng, S.; Wei, Y. Analysis of Dynamic Stability Control of Light Source in Immersion DUV Lithography. Micromachines 2025, 16, 1207. https://doi.org/10.3390/mi16111207

AMA Style

Zhu Y, Han D, Wu C, Deng S, Wei Y. Analysis of Dynamic Stability Control of Light Source in Immersion DUV Lithography. Micromachines. 2025; 16(11):1207. https://doi.org/10.3390/mi16111207

Chicago/Turabian Style

Zhu, Yihua, Dandan Han, Chuang Wu, Sen Deng, and Yayi Wei. 2025. "Analysis of Dynamic Stability Control of Light Source in Immersion DUV Lithography" Micromachines 16, no. 11: 1207. https://doi.org/10.3390/mi16111207

APA Style

Zhu, Y., Han, D., Wu, C., Deng, S., & Wei, Y. (2025). Analysis of Dynamic Stability Control of Light Source in Immersion DUV Lithography. Micromachines, 16(11), 1207. https://doi.org/10.3390/mi16111207

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop