Next Article in Journal
Robust Visual Tracking Based on Adaptive Convolutional Features and Offline Siamese Tracker
Previous Article in Journal
Theoretical and Numerical Study on Stress Intensity Factors for FRP-Strengthened Steel Plates with Double-Edged Cracks
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

A Review of Ion Implantation Technology for Image Sensors

by
Nobukazu Teranishi
1,2,*,
Genshu Fuse
3,‡ and
Michiro Sugitani
3
1
Laboratory of Advanced Science and Technology for Industry, University of Hyogo, Ako-gun, Hyogo 678-1205, Japan
2
Research Institute of Electronics, Shizuoka University, Hamamatsu, Shizuoka 432-8011, Japan
3
Sumitomo Heavy Industries Ion Technology Co., Ltd., Tokyo 141-6025, Japan
*
Author to whom correspondence should be addressed.
This paper is an extended version of Teranishi, N.; Fuse, G.; Sugitani, M. “Ion Implantation Technology for Image Sensors”, In Proceedings of the International Workshop on Image Sensors and Systems, Tokyo, Japan, 17–18 November 2016.
Consultant at present.
Sensors 2018, 18(7), 2358; https://doi.org/10.3390/s18072358
Submission received: 14 May 2018 / Revised: 26 June 2018 / Accepted: 12 July 2018 / Published: 20 July 2018
(This article belongs to the Section Physical Sensors)

Abstract

:
Ion implantation technology is reviewed mainly from the viewpoint of image sensors, which play a significant role in implantation technology development. Image sensors are so sensitive to metal contamination that they can detect even one metal atom per pixel. To reduce the metal contamination, the plasma shower using RF (radio frequency) plasma generation is a representative example. The electrostatic angular energy filter after the mass analyzing magnet is a highly effective method to remove energetic metal contamination. The protection layer on the silicon is needed to protect the silicon wafer against the physisorbed metals. The thickness of the protection layer should be determined by considering the knock-on depth. The damage by ion implantation also causes blemishes. It becomes larger in the following conditions if the other conditions are the same; a. higher energy; b. larger dose; c. smaller beam size (higher beam current density); d. longer ion beam irradiation time; e. larger ion mass. To reduce channeling, the most effective method is to choose proper tilt and twist angles. For P+ pinning layer formation, the low-energy B+ implantation method might have less metal contamination and damage, compared with the BF2+ method.

1. Introduction

Solid-state image sensor technologies have advanced drastically over the last 4 decades, and have had success in the market. The sales amount of image sensors achieved 4.2 billion pieces in 2015 mainly because of the exponential growth of mobile phone market. Image sensor applications are spreading everywhere and besides mobile phones.
During the image sensor evolution, various device technologies and process technologies have been developed. Among them, ion implantation technology is one of the most important process technologies for image sensors. From the opposite viewpoint, image sensors are a very important application for ion implantation technology development. Firstly, many ion implantation steps are applied to fabricate specific structures, such as PPD (pinned photodiode) [1,2,3], special isolation structure [4], and to tune transistors at pixels [5]. Secondly, to obtain deep PD (photodiode), high energy implantations with a precise angle control are required, together with high aspect ratio resist patterns. In addition, a precise impurity profile formation is required to achieve a good signal electron transfer in a PPD pixel. Thirdly, image sensors are very sensitive to metal contamination and crystal defects, which generate white defects (blemishes) because they have low dark current and low noise.
In this paper, ion implantation technology is reviewed mainly from a viewpoint of image sensors. First, the basics of ion implantation technology are explained in Section 2. Then, metal contamination, damage and channeling, which are important topics for image sensors, are discussed in Section 3, Section 4 and Section 5, respectively. In Section 6, the P+ pinning layer formation methods are compared.

2. Basics of Ion Implantation Technology

Historically speaking, an ion implantation process patent was submitted by W. Shockley in 1949 [6], who is one of the inventors of transistors. It was applied to mass-production line in early 1970s. Therefore, it can be said that it is a rather new process technology.
At first, ion implantations were used for threshold voltage control for MOS (Metal Oxide Semiconductor) transistors. Since then, they have been adapted for various purposes;
  • Threshold voltage control.
  • High density doping, such as source-drain formation.
  • SIMOX (separation by implantation of oxygen) [7].
Silicon dioxide layer is formed by oxygen implantation to obtain SOI (silicon on insulator) wafer.
d. Delamination [8].
High dose hydrogen implantation forms a delamination layer, and thin silicon layer is split at temperatures above 500 °C. This phenomenon is applied to produce SOI wafers by a wafer-bonding method.
e. Proximity gettering [9].
Oxygen or carbon is implanted to form gettering sites nearby the front active layer. The reproducible gettering site formation is realized by the preciseness of ion implantation, and the proximity gettering is powerful because the gettering sites are near the front side active area.
f. Dangling bond termination [10,11].
Fluorine is implanted to terminate dangling bonds. Then, interface state GR (generation recombination) centers are reduced and leakage current is decreased. Also, 1/f noise and random telegram signal (RTS) noise are reduced.
g. Amorphous formation [12].
High dose implantation forms an amorphous layer. It suppresses the channeling effect, which will be explained later. It also helps re-crystallization and electrical activation during the annealing process after ion implantation.
h. Co-implantation [13].
The impurity diffusion is suppressed if dopant atoms are implanted together with carbon, nitrogen or fluorine atoms.
Focused ion beam (FIB) and secondary ion mass spectroscopy (SIMS) also belong to a category of ion beam technology.
Ion implantation has following notable features;
(1)
The doping amount is precise enough over 5 decades from 1011 to 1016 ions/cm2.
(2)
The doping profile or depth is controlled by the ion energy.
(3)
The doping area is selected by using photo-resist patterns.
(4)
Doping through a thin dielectric layer on the surface can be applied if the ion energy is appropriately selected.
(5)
Various species of atoms, molecules and clusters can be implanted.
(6)
Ion beams have a sputtering effect.
The features from (1) to (5) are advantages for the ion implantation technology, and metal contamination, damage and channeling are disadvantages for image sensors, which will be explained in Section 3, Section 4 and Section 5, respectively.
Ion implanters are usually classified into three categories from the viewpoint of the ion energy and beam current. The first is a medium current system mainly used for channel doping, channel stop formation and well formation. The second is a high current system mainly used for source-drain formation and contact formation. The third is a high energy system used to form deep wells and PDs. From a viewpoint of wafer setting manner, there are two categories. That is, one is a single-wafer type and the other is a batch type. In the batch type, wafers are placed on a fast-rotating disk, as seen in Figure 1, in order to disperse ion beam power on multiple wafers. The batch type is mainly applied to the high current and high energy systems because they usually generate high beam power, which is a product of beam energy by current.
In order to explain a typical ion implanter’s structure, top view and side view block diagrams for medium-current machine, NV-MC3-II of SMIT (Sumitomo Heavy Industries Ion Technology Co., Ltd., Tokyo, Japan), are shown in Figure 2 [14]. Ions are generated from a gas or solid source material at the ion source arc block. The generated ions are extracted by the extraction electrode, to which extraction voltage is applied, and delivered to the analyzer magnet. The analyzer magnet selects ions having a desired bending radius in the magnet, Rb, which is given as:
  R b = 2 m V / q B 2 = p / q B
where m is ion mass, V is acceleration voltage, q is ion charge, B is magnetic flux density, and p is momentum. The Q-lens and the parallel lens shape the ion beam, and the scanning electrodes scans the ion beam to cover the entire wafer width. Next, ions are accelerated or decelerated to the needed energy at the accel/decel block if necessary. The electrostatic angular energy filter selects only the desired ions to avoid unexpected charge-exchanged ions after the analyzing magnet. Finally, they are derived into the process chamber and are implanted into the wafer. The wafer is mechanically scanned in the vertical direction perpendicular to the horizontal direction in which the ions are scanned electrically.

3. Metal Contamination

3.1. Metal Contamination for Image Sensors

Dark current and blemish are the most important and hardest problems facing image sensors. There are many possible causes of dark currents, which are shown in a pixel cross-section of CMOS (Complementary Metal Oxide Semiconductor) image sensor (Figure 3). One is GR centers at various locations, such as the PD interface, STI (shallow trench isolation) interface, PD depletion region, and TG (transfer gate) interface. A second is a strong electric field at the TG edge and at the junction between the P+ pinning layer and N PD. The others are the diffusion current from the bulk, the RG (reset gate) off-leak, and charge flow from the neighbors. Ion implantation has a possibility to generate GR centers by metal contamination and crystal damage.
Dark currents for both the neutral and depleted regions are explained by using the Shockley–Read–Hall (SRH) process. The recombination rate, U, is written as:
U = σ v t h N t p n n i 2 n + p + 2 n i cosh ( E t E i k T )
where σ is the electron and hole capture cross section, vth is the thermal velocity, Nt is the trap density, ni is the intrinsic carrier density, Et is the tarp energy level, and Ei is the intrinsic Fermi level [15]. Though the trap levels at the Si–SiO2 interface distribute widely in the bandgap, mid-gap traps with Et = Ei contribute most as (2) shows. Therefore, it is reasonable to assume that Et = Ei. If depleted (n, p << ni), then, the recombination rate becomes:
U σ v t h N t n i 2 cosh ( E t E i k T ) σ v t h N t n i 2 ,  
U is negative in this case and electron-hole pairs are generated. In the depleted region, generated electrons and holes drift by the electric field in the opposite directions each other. Therefore, they are not recombined, and become a dark current. One GR center generates U1:
U 1 = σ v t h n i 2  
Here, it is notable that the capture cross-section, σ, depends on metal species.
Figure 4 is a dark current histogram of a virtual phase CCD (Charge-Coupled Devices), which has rather many blemishes [14]. It has two series of specific and periodic peaks, labeled as “a” and “b”. Four peaks for the series “a” are seen, and they denote 0 to 3 metal atoms at a pixel from the left to the right peak. Assuming each metal is distributed as Poisson distribution, each metal’s density per pixel is derived by fitting. If the depletion region volume is estimated by the device simulation, metal density per volume can be calculated. The σ is derived from the peak pitch using Equation (4). The obtained metal densities and σ’s are shown in the inset table. The metal is identified from its cross-section. This method is called dark current spectroscopy [16]. As explained above, image sensors are so sensitive to metal contamination that even one metal atom can cause a blemish and can be detected by image sensors.

3.2. Metal Contamination Classification

Figure 5 shows examples of metal contamination measurement by ICPMS (inductively coupled plasma mass spectrometry) [17]. The samples are about 1 µm thick surface layers to which 2 × 1016 cm−2 arsenic (As) atoms are implanted with 80 keV energy. Red and blue bars denote the metal contamination before and after a new countermeasure for reduction of metal contamination is applied to the MC3-II of SMIT, respectively. Although the metal contamination is much improved by the new countermeasure, it is important to clean implanters more and in parallel to develop pixel structure and process flow, which are robust against metal contamination.
Metal contaminations through ion implantation are classified into two categories; one is energetic metal ion and the other is physisorption. Figure 6 shows cross sectional illustration showing ion implantation process and metal contaminations. Straight line arrows denote energetic ion implantation, and wiggle line arrows denote physisorption. Here, D is a dopant and M1+ is a metal ion, which has energy and impinges to the wafer together with the dopants. M2 denotes another metal atom or ion, which has a small (thermal) energy and is physisorbed on the wafer surface.

3.3. Physisorption Metal Contamination

Although most of the physisorbed metals are washed out by a following cleaning process, some of them invade the silicon by thermal diffusion or knock-on. To learn about the knock-on effect, Figure 7 shows the knocked-on aluminum depth profiles by Monte Carlo simulation [17]. The condition is that after a 3 nm thick aluminum layer is deposited on the silicon wafer, 1 × 1015 cm−2 As with two different energies, 50 keV and 1 MeV, is implanted. The aluminum layer emulates physisorbed metals. The aluminum depth profile becomes larger and deeper if the implantation energy is smaller. While the knocked-on aluminum atoms reach 15 nm deep in silicon by 1 MeV, they reach 45 nm deep in silicon by 50 keV. It is notable that if the ion energy is smaller, the knock-on effect becomes larger because the cross section becomes larger according to the Rutherford scattering formula.
There are two important cautions for avoiding physisorption metal contamination; (a) a protection layer, typically thin silicon dioxide layer, should be placed on the wafer during ion implantation [18]. The thickness of the protection layer should be determined by considering the knock-on depth. If the temperature during the ion implantation is high, the thermal diffusion length of metals should be considered. (b) The wafers should be cleaned up just after the ion implantations and before the thermal treatments. It is effective if the protection layer is etched even by a little amount during the cleaning. However, some knocked-on metals remain in the protection layer, and thermal treatment should be done with care for the thermal diffusion of the remained metals, or the protection layer should be removed.

3.4. Contamination Reduction in Implanters

To reduce metal contamination, various technologies have been developed for ion implanters. A couple of them will be explained in this subsection.
One is an ion beam neutralizer, which is applied to suppress the charge up. In the early stage, an electron shower was used, which generates primary electrons by hot tungsten filament and accelerated electrons hit on an aluminum reflector to generate secondary electrons. These secondary electrons neutralize the ion beam and the wafer surface, as shown in Figure 8a. Its drawbacks are metal contamination from the tungsten filament and rather high energy of the secondary electrons for neutralization. To eliminate these drawbacks, a plasma shower was developed and has been used. As illustrated in Figure 8b [17], plasma is generated by a hot filament or radio frequency (RF) antenna in plasma box, and electrons are extracted to the flood box. Then, they neutralize the ion beam and wafer surface. Because plasma is used, the energy of the extracted electrons is small, which is good for neutralization. When RF antenna, coated with non-metal dielectric material, is used, metal contamination is greatly reduced.
Another is an energetic metal ion contamination in the case of BF2+ implantation. If the magnetic bending radius of some ion equals to that of 11B19F2+ (shortly, Rb (some ion) = Rb (11B19F2+)), the ion can pass through the analyzer magnet, and becomes energetic metal ion contamination. When the ion source arc chamber is made of molybdenum (Mo), Mo contamination occurs because Rb (98Mo++) is exactly equal to Rb (11B19F2+) [19]. Wafers are often contaminated by tungsten (W), because W is used in various parts of ion implanters, such as the ion arc chamber, filament and cathode in the ion source. The mechanism of W contamination is not as simple as the Mo case described above. Alternatively, charge exchange model for 184W12C+ [20] and/or molecule decomposition models for 184W19F++ [21] were introduced. According to the tungsten carbide (WC) charge exchange model, if a WC ion becomes double charged just before the analyzer magnet, Rb becomes the same as that of BF2. At this moment it cannot be determined which mechanism is more realistic, but at least it is true that even if arc chamber material is changed from W to carbon (C) W contamination is reduced only to half [20], which means that the effect of filament material still remains or some components other than the ion source should be considered as origins of contamination.
The electrostatic angular energy filter shown in Figure 2 after the mass analyzing magnet is a highly effective method to remove energetic metal contaminations as explained above. This is because a magnet analyzer acts as a filter of momentum per charge (mass per charge) and an electrostatic filter selects energy per charge [20]. It is notable that only part of implanters have both an analyzer magnet and electrostatic angular energy filter.

4. Damage

Since the energy of ion implantation is much higher than the binding energy of silicon, 4.6 eV, it generates damage (crystal defects), including vacancies, interstitials and finally an amorphous layer. After ion implantation, annealing is carried out to restore the silicon crystallinity and to activate dopants electrically. The residual defects seriously affect the following processes and device performance. One important example for effects on processes is that diffusion constants are changed due to the defects, especially vacancies and interstitials. Therefore, even if dopant profiles are the same just after the implantation, if damage is different, the final dopant profiles usually become different. Image sensors suffer from a dark current increase and blemishes caused by the damage. In this section, damage by ion implantations will be discussed.
First, damage is compared between the single-wafer type, MC3 of SMIT, and the batch type, GSD-HE of SMIT, in Figure 9. To measure damage, therma wave (Therma-Wave, Inc., Fremont, CA, USA) is used, which has positive relation with the damage. The implantation condition is P+, 90 keV, 2 × 1013 cm−2. In GSD-HE, 13 × 200-mm-wafers are loaded at once. Both of the single-wafer type and the batch type have larger TW value or larger damage when the beam current increases in a range from 20 to 200 µA. This is reasonable result. The single-wafer type has larger TW value compared with the batch type. The TW value of the single-wafer type at 40 µA is equal to that of the batch type at 200 µA. It might be said that batch type has effectively 1/5 of the ion beam current of the single-wafer type from a damage viewpoint.
Figure 10 illustrates the damage distribution on a wafer for the two types. The damage uniformity of the batch type is better, together with the damage level. In case of the single-wafer type, the left-hand side and the right-hand side suffer larger damage. It is because the ion beam turns back at left hand side and right-hand side, and then the beam irradiation period becomes longer and the interval becomes shorter at both sides. The batch type has a slight damage non-uniformity, where the damage at the disk inner side is larger than that at the disk outer side [17].
This damage non-uniformity at the batch type is explained using another experimental result in Figure 11 and Figure 12 [23]. Figure 11 shows the configuration of the disk, the wafer and the beam spots. The distances from the disk center are 71 cm at the disk outer side, 61 cm at the wafer center, and 51 cm at the disk inner side. Since the disk spinning speed is 815 rpm, the beam moving speeds on the wafer are 6.1 cm/ms at the disk outer side, 5.2 cm/ms at the wafer center, and 4.4 cm/ms at the disk inner side. Therefore, the beam moving speed at the disk inner side is 1.4 times slower than that at the disk outer side. Two kinds of beam spot shapes are prepared; one is a conventional round shape and the other is an oval shape, which shortens the beam irradiation time. Figure 13 shows the level of white defects in a CCD image sensor. The horizontal axis is the position from the disk center. The disk inner side is located at the right-hand side. The vertical axis is the relative level of white defects. This result clearly shows that the damage at the disk inner side is larger, and the damage of the oval beam shape is smaller. Another experimental result is shown in Figure 13, which is the disk spinning speed dependence on the damage layer thickness. Although an amorphous layer was not generated by this experiment, a damage layer with different optical index was observed by a spectroscopic ellipsometer. As shown in Figure 13, the damage layer is thinner, when the disk spinning speed is larger. Even if the damage becomes smaller, the disk spinning speed cannot be increased because the resist pattern breakage by particles might become more frequent. The results, as shown in Figure 9, Figure 10, Figure 11, Figure 12 and Figure 13, suggest that even if the dose amounts and beam currents are the same, shorter irradiation time case shows smaller damage.
Next, the mass effect will be discussed. Table 1 shows the amorphous layer thickness by As dimer implantation, compared with that by as monomer implantation [22]. The energy for the As dimer is set to be twice larger than that for the monomer, and the dose is set to be half of that for the monomer to keep the equivalence. The amorphous thicknesses for the dimer are larger than those for the monomer, as shown in Table 1. Another fact is that BF2+ implantation with 1 × 1015 cm−2 dose usually generates amorphous layer, while the 1 × 1015 cm−2 B+ implantation, accompanied by the 2 × 1015 cm−2 F+ implantation, dose not generate any amorphous layer [22]. Both results imply that larger ion mass causes larger damage.
It can be said that the ion implantation damage becomes larger as the following conditions if other conditions are the same:
  • Higher energy;
  • Larger dose;
  • Higher beam current;
  • dLonger ion beam irradiation time;
  • Shorter ion beam irradiation interval;
  • Larger ion mass.

5. Channeling

Because image sensors have low noise, even small irregularities are not allowed. One of the important problems is image lag in the PPD [24]. Not even a single electron should remain in the PD after the transfer period. Therefore, in order to achieve no image lag, precise design and process technology should be applied to form PPD pixels. As explained in Section 2, ion implantation can afford precise dose, depth and doping area. However, it has a large limitation, i.e., channeling. Figure 14 shows the boron concentration profile by Monte Carlo simulation as an extreme example [25]. The arrow denotes the point, where B+ ions are implanted with the conditions; (100) silicon wafer, 0.5 keV energy, 1 × 1015 cm−2 dose, and 7° tilt, 22° twist. This combination of angles is regarded as a small channeling condition. However, because ion energy is so small and channeling becomes so large the profile is very different from those obtained by usual amorphous model simulation. Notable fingers are formed in <110> direction due to de-channeling. Channeling brings a deeper dopant profile, which causes lower sheet resistance. It also wreaks undesirable dopant distribution dependence on channeling direction, sheet resistivity non-uniformity on a wafer, lot-to-lot sheet resistivity variation. Moreover, it might bring unexpected electric field concentrations at the fingertips. In this section, channeling will be discussed.
Figure 15 illustrates the different appearance of the crystal lattice by the view angle. There are pipe-like spaces (channels) in (A) and sheet-like spaces in (B), while there are no spaces in (C), which looks like a random arrangement. If ions fly through these spaces with little collisions, it is called channeling. There are two kinds of channeling: axial channeling (A) and planar channeling (B).
The channeling depends on the silicon surface orientation, the ion beam angle, energy, ion species, substrate temperature, etc. Figure 16 shows the average path dependence on the tilt and twist angles by Monte Carlo simulation [27,28,29]. The mean path is defined as the distance along the ion trajectory until its direction deviates by more than 2° from the initial incident direction. The average path is an average over 200 simulated paths. It can be considered as a channeling measure. The notch is located at twist =45°. The mountain ranges indicate the planer channeling, and the independent peaks are the axial channeling. There are so many axial and planer channeling directions. Among them, <011> axial is the largest. Other prominent axial channels are <112>, <100>, <111>, <013>, and <114>. Planar channels are apparent for {111}, {022}, {311}, and {004}. The preferable tilt and twist combinations to reduce channeling can be chosen by using Figure 16. If the appropriate ion implantation direction has some angle to TG to suppress the channeling, for example, multi-step implantation should be applied to keep the symmetry.
Figure 17 shows the average path dependence on the tilt and twist angle of B+ with 5 keV energy. The average path becomes smaller and the peaks and mountain ranges becomes broader compared with 100 keV energy case. Because the average path is the integration from the initial to direction deviation, it becomes larger when the energy is larger. However, because the ratio of the average path to the range becomes larger when the energy becomes smaller, it can be said that the channeling is larger when the energy is smaller. Actually, the most severe problem for channeling is the tailing of the doping profile, which is determined by the final stage of the ion trajectory.
To reduce channeling, one can use the screen oxide method and amorphization method, other than beam direction selection. Figure 18 shows the effect of the screen oxide. 150 keV, 4 × 1013 cm−2, 11B+ is implanted into (100) silicon wafer with the tilt angle of 0° [30]. The screen dioxide thicknesses are 7.6 nm, 33.6 nm and 101.5 nm. Because silicon dioxide is amorphous and the ion directions are scattered, the channeling becomes smaller as the screen dioxide becomes thicker. However, in even a 100 nm oxide case, the tail by channeling still exists. Therefore, since the necessary oxide is too thick to suppress the channeling completely, the screen oxide method is not practical for the latest fine technology.
Next, the amorphization method is discussed. As explained in Section 4, the amorphous layer is generated by high dose and larger mass ion implantation. This amorphous layer reduces channeling. However, even in this case, part of ions are implanted with the channeling condition before the amorphous layer is formed. Additionally, smaller mass ions do not generate amorphous layer. In order to suppress the channeling even in these conditions, the pre-amorphization method is introduced [12]. Electrically-neutral ions, such as Ge or Si, are implanted at a high-dose beforehand to form an amorphous layer. Then, electrically-active ions, such as B, are implanted without suffering from channeling. Finally, the amorphous layer is re-crystalized by a following annealing process. This method is applied to the shallow source-drain formation for fine logic process. However, image sensors are so sensitive that there is still a room for improvement on the re-crystallization quality in this method at present.
Lastly, discussion is focused on zero-degree tilt implantation, which is applied to avoid shadowing occurring due to resist patterns and to obtain deeper profiles by intentional channeling. Figure 19 shows the SIMS profiles implanted with 0.4–0.8° tilts in 0.1° steps, 1.5 MeV energy, 1 × 1013 cm−2 dose of B+ [31]. There are clear differences in the SIMS profile even only 0.1° tilt steps due to the channeling differences. Because wafers usually have a small-angle off-angle to obtain good quality epitaxial growth, and the wafer orientation and implanter angle setting contain errors, it is difficult to control channeling at present. Therefore, it can be said that the zero-degree tilt implantation is quite a variable process.

6. B vs. BF2 for Pinned Photodiode (PPD) Formation

One of the critical implantations is that to form the P+ pinning layer of PPD, which affects both the complete signal electron transfer from PD to FD through TG and the dark current/blemishes. If the P+ pinning layer is thicker, the signal electron transfer becomes difficult. Its edge position with reference to the TG gate edge is also a sensitive parameter. To reduce dark current and blemishes, a metal-free unit is required because the electric field between the P+ pinning layer and N PD is large, as shown in Figure 3.
There are two options for this implantation, i.e., low-energy B+ or BF2+ implantations. Table 2 shows the comparison between them. High-current low energy implanters are preferable for the low-energy and medium-dose B+ implantation, such as the SHX series of SMIT, which can provide 200 eV as the minimum energy [17]. Then, the productivity is same even in case of the strong deceleration mode. Doping profiles, including the depth and lateral spread of the two conditions are almost in the same levels. The low-energy B+ generates smaller damage because a mass of 11B+ is 11/49 times smaller than that of 11B19F2+. Metal contamination of the low-energy B+ is also lower thanks to a smaller knock-on and sputtering effect and less energetic metallic ions, as explained in Section 3.4. Fluorine from BF2 has a positive effect for dark current reduction on a case-by-case basis because fluorine can terminate the dangling bonds. In the case of low-energy B+, F+ can be implanted separately if necessary.
Since the formation of the NPD and the P+ pinning layer are complicated in practice, the selection is not straightforward. Simply speaking, the low-energy B+ looks better.

7. Conclusions

Ion implantation is an indispensable technology for image sensors, and image sensors play a significant role for implantation technology development.
Image sensors are so sensitive to metal contamination that even a single metal atom per pixel can be detected as a blemish. Image sensors have always required metal contamination reduction of ion implanters. The plasma shower using RF plasma generation is a representative example. Although some metal ions, such as 184W19F++ [20] and 184W12C+, cannot be removed by the mass analyzing magnet due to the charge exchange process, the electrostatic angular energy filter after the mass analyzing magnet is a highly effective method to remove such contamination caused by the charge exchange process. The protection layer on the silicon is needed to protect the silicon wafer against the physisorbed metals. The thickness of the protection layer should be determined by considering the knock-on depth. In addition, the wafers should be cleaned up just after ion implantations and before thermal treatments.
Crystal damage by ion implantation also causes blemishes. The damage becomes larger under the following conditions if the other conditions are the same: (a) higher energy; (b) larger dose; (c) higher beam current; (d) longer ion beam irradiation time; (e) shorter ion beam irradiation interval; (f) larger ion mass.
To obtain precise doping profiles, channeling should be reduced. The most effective method is to choose proper tilt and twist angles. If ion implantation direction has some angle to TG to suppress the channeling, for example, multi-step implantation should be applied to keep the symmetry. The screen oxide method is not effective because it needs thick oxide layers. Although the pre-amorphization method is good for channeling suppression, re-crystallization quality is not yet sufficient at present. The zero-degree tilt implantation has large variation because the channeling is sensitive to even small angle variation, especially in a high-energy case.
For P+ pinning layer formation, the low-energy B+ implantation method might have less metal contamination and damage, compared with the BF2+ method.
There remain important topics on ion implantation relating to image sensor fabrication, which are not discussed in this paper, such as annealing, high-energy implantation, trench implantation, uniformity, and so on.

Author Contributions

N.T. planned outlined and wrote the paper. He also analyzed papers and extracted useful information related to image sensor technology. G.F. and M.S. redacted the draft. They also analyzed papers and extracted useful information related to ion implantation technology.

Funding

This research received no external funding.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Teranishi, N.; Kohono, A.; Ishihara, Y.; Oda, E.; Arai, K. No Image Lag Photodiode Structure in the Interline CCD Image Sensor. In Proceedings of the International Electron Devices Meeting, San Francisco, CA, USA, 13–15 December 1982; pp. 324–327. [Google Scholar]
  2. Fossum, E.R.; Hondongwa, D.B. A Review of the Pinned Photodiode for CCD and CMOS Image Sensors. IEEE J. Electron Devices Soc. 2014, 2, 33–43. [Google Scholar] [CrossRef] [Green Version]
  3. Teranishi, N. Effect and Limitation of Pinned Photodiode. IEEE Trans. Electron Devices 2016, 63, 10–15. [Google Scholar] [CrossRef]
  4. Itonaga, K.; Mizuta, K.; Kataoka, T.; Yanagita, M.; Ikeda, H.; Ishiwata, H.; Tanaka, Y.; Wakano, T.; Matoba, Y.; Oishi, T.; et al. Extremely-Low-Noise CMOS Image Sensor with High Saturation Capacity. In Proceedings of the 2011 International Electron Devices Meeting, Washington, DC, USA, 5–7 December 2011; pp. 171–174. [Google Scholar]
  5. Wang, X.-Y.; Snoeij, M.F.; Rao, P.R.; Mierop, A.; Theuwissen, A.J.P. A CMOS Image Sensor with a Buried-Channel Source Follower. In Proceedings of the 2008 IEEE International Solid-State Circuits Conference—Digest of Technical Papers, San Francisco, CA, USA, 3–7 February 2008; pp. 62–63. [Google Scholar]
  6. Shockley, W. Semiconductor Translating Device. U.S. Patent 2,666,814, 27 April 1949. [Google Scholar]
  7. Izumi, K.; Doken, M.; Ariyoshi, H. CMOS Devices Fabricated by SiO2 Layers formed by Oxygen Implantation into Silicon. Electon. Lett. 1978, 14, 593–594. [Google Scholar] [CrossRef]
  8. Hara, T.; Kajiyama, K.; Yoneda, T.; Inoue, M. Delaminations of Thin Layers by High Dose Hydrogen Ion Implantation in Silicon. J. Electrochem. Soc. 1996, 143, L166–L168. [Google Scholar] [CrossRef]
  9. Wong, H.; Cheung, N.W.; Chu, P.K.; Liu, J.; Mayer, J.W. Proximity gettering with mega-electron-volt carbon and oxygen implantations. Appl. Phys. Lett. 1987, 52, 2555–2557. [Google Scholar] [CrossRef]
  10. Ohyu, K.; Itoga, T.; Natsuaki, N. Advantages of Fluorine Introduction in Boron Implanted Shallow p+/n-Junction Formation. Jpn. J. Appl. Phys. 1990, 29, 457–462. [Google Scholar] [CrossRef]
  11. Ha, M.-L.; Kang, M.-K.; Yoon, S.-W.; Han, C.-H.; Lee, J.; Lee, Y.-J. Temporal Noise Improvement Using the Selective Application of the Fluorine Implantation in the CMOS Image Sensor. In Proceedings of the International Image Sensors Workshop (IISW), Hiroshima, Japan, 30 May–2 June 2017; pp. 32–35. [Google Scholar]
  12. Sadana, D.K.; Myers, E.; Liu, J.; Finstad, T.; Rozgonyi, G.A. Germanium Implantation into Silicon an Alternate Pre-Amorphization/Rapid Thermal Annealing Procedure for Shallow Junction Formation. J. Electrochem. Soc. 1984, 131, 943–945. [Google Scholar] [CrossRef]
  13. Vanderpool, A. Importance of the Carbon Kick-out Mechanism in Reducing Transient Enhanced Diffusion. In AIP Conference Proceedings, Proceedings of the 17th International Conference on Ion Implantation Technology, Monterey, CA, USA, 8–13 June 2008; American Institute of Physics: College Park, MD, USA, 2009; pp. 213–216. [Google Scholar]
  14. Sugitani, M.; Sato, F.; Koike, M.; Sano, M.; Ueno, K. Introduction of the MC3-II/WR System, an Extended Energy Medium Current Ion Implanter. In AIP Conference Proceedings, Proceedings of the 17th International Conference on Ion Implantation Technology, Monterey, CA, USA, 8–13 June 2008; American Institute of Physics: College Park, MD, USA, 2009. [Google Scholar]
  15. Sze, S.M. Physics of Semiconductor Devices, 2nd ed.; John Wiley & Sons: Hoboken, NJ, USA, 1981; Chapters 1 and 2; pp. 35–38, 84–89. [Google Scholar]
  16. McGrath, R.D.; Doty, J.; Lupino, G.; Ricker, G.; Vallerga, J. Counting of Deep-Level Traps Using a Charge-Coupled Devices. IEEE Trans. Electron Devices 1987, 34, 2555–2557. [Google Scholar] [CrossRef]
  17. Fuse, G.; Sugitani, M. Fundamental Ion Implantation Technologies for Image Sensor Devices. In Proceedings of the International Image Sensors Workshop (IISW), Snowbird, UT, USA, 12–16 June 2013; pp. 17–20. [Google Scholar]
  18. Saga, K.; Ohno, R.; Shibata, D.; Kobayashi, S.; Sueoka, K. Behavior of Transition Metals Penetrating Silicon Substrate through SiO2 and Si3N4 Films by Arsenic Ion Implantation and Annealing. ECS J. Solid State Technol. 2015, 4, 131–136. [Google Scholar] [CrossRef]
  19. Cubina, A.; Frost, M. Effect of Molybdenum Contamination Resulting from BF2 Implantation. Nuclear Instrum. Methods Phys. Res. 1991, 55, 160–165. [Google Scholar] [CrossRef]
  20. Fuse, G.; Sugitani, M. Fundamentals of Ion Implantation Technologies for Image Sensing Devices. ECS Trans. 2014, 60, 675–680. [Google Scholar] [CrossRef]
  21. Liebert, R.B.; Angel, G.C.; Kase, M. Tungsten Contamination in BF2 Implants. In Proceedings of the 11th International Conference on Ion Implantation Technology, Austin, TX, USA, 16–21 June 1996; pp. 135–138. [Google Scholar]
  22. Fuse, G.; Sano, M.; Murooka, H.; Yagita, T.; Kabasawa, M.; Shiraishi, T.; Fujino, Y.; Suetsugu, N.; Kariya, H.; Izutani, H.; et al. Electrical Characteristics Due to Differences in Crystal Damage Induced by Various Implant Conditions. Nuclear Instrum. Methods Phys. Res. 2005, 237, 77–80. [Google Scholar] [CrossRef]
  23. Kanazaki, E.; Iwawaki, N.; Kawase, F.; Shibata, S. Implant Damage Evaluation at High Energy and Low Dose Ion Implantation Using White Defect of CCD Image Sensor. In Proceedings of the 2009 International Workshop on Junction Technology, Kyoto, Japan, 11–12 June 2009; pp. 106–109. [Google Scholar]
  24. Teranishi, N. The Pinned Photodiode. In Proceedings of the Workshop on CMOS Image Sensors for High Performance Applications, Toulouse, France, 18–19 November 2015. [Google Scholar]
  25. Hobler, G.; Vuong, H.-H.; Bevk, J.; Agarwal, A.; Gossmann, H.-J.; Jacobson, D.C.; Foad, M.; Murrell, A.; Erokhim, Y. Modeling of Ultra-Low energy Boron Implantation in Silicon. In Proceedings of the International Electron Devices Meeting. IEDM Technical Digest, Washington, DC, USA, 10 December 1997; pp. 489–492. [Google Scholar]
  26. Fuse, G.; Hirao, T. Ion Implantation Technology Has Come to This Point; Kogyo Chosakai Publishing Co., Ltd.: Tokyo, Japan, 1991. (In Japanese) [Google Scholar]
  27. Lever, R.F.; Brannon, K.W. A low Energy Limit to Boron Channeling in Silicon. J. Appl. Phys. 1991, 69, 6369–6372. [Google Scholar] [CrossRef]
  28. Lever, R.F.; Brannon, K.W. Crystallographic Aspects of Low Energy Boron Implantation into Silicon. Mater. Res. Soc. Symp. Proc. 1988, 100, 249–254. [Google Scholar] [CrossRef]
  29. Simonton, R.; Rubin, L. Channeling Effects in Ion Implantation into Silicon. In Handbook of Ion Implantation Technology; Ziegler, J.F., Ed.; North-Holland: Amsterdam, The Netherlands, 2002; pp. 303–340. [Google Scholar]
  30. Myers, D.R.; Comas, J.; Wilson, R.G. Effect of Silicon Dioxide Surface-Layer Thickness on Boron Profiles for Directly Aligned Implants into (100) Silicon. J. Appl. Phys. 1981, 52, 3357–3359. [Google Scholar] [CrossRef]
  31. Watanabe, K.; Sasaki, H.; Kabasawa, M.; Tsukihara, M.; Ueno, K. Introduction of the S-UHE, a Single-Wafer Ultra-High Energy Ion Implanter. In Proceedings of the 2014 20th International Conference on Ion Implantation Technology (IIT), Portland, OR, USA, 26 June–4 July 2014. [Google Scholar]
Figure 1. The rotating disk and wafer holders in the batch type ion implanters (courtesy of SMIT).
Figure 1. The rotating disk and wafer holders in the batch type ion implanters (courtesy of SMIT).
Sensors 18 02358 g001
Figure 2. The top view and side view block diagrams of a medium current ion implanter, NV-MC3-II, of SMIT [14].
Figure 2. The top view and side view block diagrams of a medium current ion implanter, NV-MC3-II, of SMIT [14].
Sensors 18 02358 g002
Figure 3. Various possible causes of dark current generation, illustrated in CMOS image sensor pixel cross-section. PD: photodiode; TG: transfer gate; FD: floating diffusion; RG: reset gate; RD: reset drain; GR center: generation recombination center; STI: shallow trench isolation.
Figure 3. Various possible causes of dark current generation, illustrated in CMOS image sensor pixel cross-section. PD: photodiode; TG: transfer gate; FD: floating diffusion; RG: reset gate; RD: reset drain; GR center: generation recombination center; STI: shallow trench isolation.
Sensors 18 02358 g003
Figure 4. Dark current spectroscopy [16]. (a) Obtained capture cross sections and metal densities. (b) Dark current histogram, having two series of specific and periodic peaks, labeled as (a) and (b).
Figure 4. Dark current spectroscopy [16]. (a) Obtained capture cross sections and metal densities. (b) Dark current histogram, having two series of specific and periodic peaks, labeled as (a) and (b).
Sensors 18 02358 g004
Figure 5. Metal contamination measurement by inductively coupled plasma mass spectrometry (ICPMS) [17]. Red denotes the metal contamination before the new countermeasure, and blue denotes that after the new countermeasure, in a MC3-II of SMIT.
Figure 5. Metal contamination measurement by inductively coupled plasma mass spectrometry (ICPMS) [17]. Red denotes the metal contamination before the new countermeasure, and blue denotes that after the new countermeasure, in a MC3-II of SMIT.
Sensors 18 02358 g005
Figure 6. Cross-sectional illustration showing ion implantation process and metal contaminations. Straight line arrows denote energetic ion implantation, and wiggle line arrows denotes physisorption. D: dopant, M1: metal, which is implanted, M2: metal, which is physisorbed.
Figure 6. Cross-sectional illustration showing ion implantation process and metal contaminations. Straight line arrows denote energetic ion implantation, and wiggle line arrows denotes physisorption. D: dopant, M1: metal, which is implanted, M2: metal, which is physisorbed.
Sensors 18 02358 g006
Figure 7. An example of knock-on effect [17]. (a) Illustration showing the simulation condition; (b) knocked-on aluminum depth profiles by Monte Carlo simulation.
Figure 7. An example of knock-on effect [17]. (a) Illustration showing the simulation condition; (b) knocked-on aluminum depth profiles by Monte Carlo simulation.
Sensors 18 02358 g007
Figure 8. Illustrations of ion beam neutralizer evolution. (a) Electron shower; (b) plasma shower using RF (radio frequency) plasma generation [17].
Figure 8. Illustrations of ion beam neutralizer evolution. (a) Electron shower; (b) plasma shower using RF (radio frequency) plasma generation [17].
Sensors 18 02358 g008
Figure 9. Therma wave (TW) value comparison between the single-wafer type and the batch type implanters [22]. Single-wafer type: MC3 (SMIT); batch type: GSD-HE (SMIT). Ion: P+, Energy: 90 keV, Dose: 2 × 1013 cm−2.
Figure 9. Therma wave (TW) value comparison between the single-wafer type and the batch type implanters [22]. Single-wafer type: MC3 (SMIT); batch type: GSD-HE (SMIT). Ion: P+, Energy: 90 keV, Dose: 2 × 1013 cm−2.
Sensors 18 02358 g009
Figure 10. Damage distribution illustrations [17]. Arrows denote beam scans. (a) Batch type: the damage is smaller and more uniform. The damage at the disk inner side is slightly larger; (b) single-wafer type: the damage at the left side and the right side is largest.
Figure 10. Damage distribution illustrations [17]. Arrows denote beam scans. (a) Batch type: the damage is smaller and more uniform. The damage at the disk inner side is slightly larger; (b) single-wafer type: the damage at the left side and the right side is largest.
Sensors 18 02358 g010
Figure 11. Configuration of the disk, the wafer and the beam spots [23]. Two kinds of beam spot shapes are prepared; Round shape: reference; Oval shape: to shorten the beam irradiation time.
Figure 11. Configuration of the disk, the wafer and the beam spots [23]. Two kinds of beam spot shapes are prepared; Round shape: reference; Oval shape: to shorten the beam irradiation time.
Sensors 18 02358 g011
Figure 12. The white defect level variance on a wafer in a CCD image sensor [23].
Figure 12. The white defect level variance on a wafer in a CCD image sensor [23].
Sensors 18 02358 g012
Figure 13. Damage layer thickness dependence on disk spinning speed [22]. Batch type: GSD-HE (SMIT); Ion: BF2; Energy: 20 keV; Dose: 1 × 1014 cm−2. Although amorphous layer was not generated by this experiment, a damage layer with different optical index was observed by a spectroscopic ellipsometer. The damage layer thickness indicates thickness of the damage layer.
Figure 13. Damage layer thickness dependence on disk spinning speed [22]. Batch type: GSD-HE (SMIT); Ion: BF2; Energy: 20 keV; Dose: 1 × 1014 cm−2. Although amorphous layer was not generated by this experiment, a damage layer with different optical index was observed by a spectroscopic ellipsometer. The damage layer thickness indicates thickness of the damage layer.
Sensors 18 02358 g013
Figure 14. Boron concentration profile of point response by Monte Carlo simulation [25]. Wafer: (100); Ion: B+; Energy: 0.5 keV; Dose: 1 × 1015 cm−2; Tilt: 7°; Twist: 22°. The arrow denotes a point where B+ is implanted.
Figure 14. Boron concentration profile of point response by Monte Carlo simulation [25]. Wafer: (100); Ion: B+; Energy: 0.5 keV; Dose: 1 × 1015 cm−2; Tilt: 7°; Twist: 22°. The arrow denotes a point where B+ is implanted.
Sensors 18 02358 g014
Figure 15. Illustrations to show the different appearance of a crystal lattice by the view angle [26].
Figure 15. Illustrations to show the different appearance of a crystal lattice by the view angle [26].
Sensors 18 02358 g015
Figure 16. Average path dependence on the tilt and twist angles by Monte Carlo simulation [27,28,29]. The mean path is the distance along the ion trajectory until its direction deviates by more than 2° from the initial incident direction. Ion: B+, Energy: 100 keV, Tilt pitch: 1°, Twist pitch: 2°, Number of average: 200. The notch position is at twist =45°.
Figure 16. Average path dependence on the tilt and twist angles by Monte Carlo simulation [27,28,29]. The mean path is the distance along the ion trajectory until its direction deviates by more than 2° from the initial incident direction. Ion: B+, Energy: 100 keV, Tilt pitch: 1°, Twist pitch: 2°, Number of average: 200. The notch position is at twist =45°.
Sensors 18 02358 g016
Figure 17. Average path dependence on the tilt and twist angles by Monte Carlo simulation [27,28,29]. Ion: B+; Energy: 5 keV; Tilt pitch: 1°; twist pitch: 2°.
Figure 17. Average path dependence on the tilt and twist angles by Monte Carlo simulation [27,28,29]. Ion: B+; Energy: 5 keV; Tilt pitch: 1°; twist pitch: 2°.
Sensors 18 02358 g017
Figure 18. Boron density profile with various screen oxide thickness [30]. Wafer: (100) Silicon, Ion: 11B+, Energy: 150 keV, Dose: 4 × 1013 cm−2, Tilt: 0.0 ± 0.1°.
Figure 18. Boron density profile with various screen oxide thickness [30]. Wafer: (100) Silicon, Ion: 11B+, Energy: 150 keV, Dose: 4 × 1013 cm−2, Tilt: 0.0 ± 0.1°.
Sensors 18 02358 g018
Figure 19. Secondary ion mass spectroscopy (SIMS) profiles implanted in 0.1° tilt steps [31]. Ion: B+; Energy: 1.5 MeV; Dose: 1 × 1013 cm−2; Tilt: 0.4–0.8°.
Figure 19. Secondary ion mass spectroscopy (SIMS) profiles implanted in 0.1° tilt steps [31]. Ion: B+; Energy: 1.5 MeV; Dose: 1 × 1013 cm−2; Tilt: 0.4–0.8°.
Sensors 18 02358 g019
Table 1. The amorphous layer thickness by As dimer implantation, compared with that by As monomer implantation [22]. The figure illustrates As monomer and dimmer.
Table 1. The amorphous layer thickness by As dimer implantation, compared with that by As monomer implantation [22]. The figure illustrates As monomer and dimmer.
IonEnergyDose Amorphous Thickness
(keV)(cm−2)(nm)
As+153 × 101425.5
As2+301.5 × 101426.4
As+151.0 × 101421.3
As2+305.0 × 101322.2
Sensors 18 02358 i001
Table 2. Comparison between B+ and BF2+ implantations for forming P+ pinning layer at pinned photodiode (PPD).
Table 2. Comparison between B+ and BF2+ implantations for forming P+ pinning layer at pinned photodiode (PPD).
Low Energy B+ (1)BF2+
ProductivitySame
Shallow DepthSame
Lateral SpreadSame
DamageAdvantage (2)
Metal ContaminationAdvantage (3)
Fluorine EffectSeparate F+ Implantation (4)Advantage in Some Cases
Note: (1) High-current low energy implanters are assumed, such as SHX series of Sumitomo Heavy Industries Ion Technology (SMIT), which has 200 eV minimum energy [17]; (2) knock-on effect is smaller because the mass of 11B+ is 11/49 times smaller than that of 11B19F2+; (3) smaller sputtering effect and energetic metal contamination; (4) F+ can be implanted separately if needed.

Share and Cite

MDPI and ACS Style

Teranishi, N.; Fuse, G.; Sugitani, M. A Review of Ion Implantation Technology for Image Sensors . Sensors 2018, 18, 2358. https://doi.org/10.3390/s18072358

AMA Style

Teranishi N, Fuse G, Sugitani M. A Review of Ion Implantation Technology for Image Sensors . Sensors. 2018; 18(7):2358. https://doi.org/10.3390/s18072358

Chicago/Turabian Style

Teranishi, Nobukazu, Genshu Fuse, and Michiro Sugitani. 2018. "A Review of Ion Implantation Technology for Image Sensors " Sensors 18, no. 7: 2358. https://doi.org/10.3390/s18072358

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop