Next Article in Journal / Special Issue
Enhancing Algorithm Selection through Comprehensive Performance Evaluation: Statistical Analysis of Stochastic Algorithms
Previous Article in Journal
Anomalous Solute Transport Using Adsorption Effects and the Degradation of Solute
Previous Article in Special Issue
Marine Predators Algorithm for Sizing Optimization of Truss Structures with Continuous Variables
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Improvement in Sizing Constrained Analog IC via Ts-CPD Algorithm

by
Pedro Lagos-Eulogio
1,†,
Pedro Miranda-Romagnoli
1,*,†,
Juan Carlos Seck-Tuoh-Mora
2,*,† and
Norberto Hernández-Romero
2,†
1
Área Académica de Matemáticas y Física, Instituto de Ciencias Básicas e Ingeniería, Universidad Autónoma del Estado de Hidalgo, Carr. Pachuca-Tulancingo km. 4.5, Pachuca 42184, Hidalgo, Mexico
2
Área Académica de Ingeniería, Instituto de Ciencias Básicas e Ingeniería, Universidad Autónoma del Estado de Hidalgo, Carr. Pachuca-Tulancingo km. 4.5, Pachuca 42184, Hidalgo, Mexico
*
Authors to whom correspondence should be addressed.
These authors contributed equally to this work.
Computation 2023, 11(11), 230; https://doi.org/10.3390/computation11110230
Submission received: 6 October 2023 / Revised: 24 October 2023 / Accepted: 2 November 2023 / Published: 16 November 2023

Abstract

:
In this work, we propose a variation of the cellular particle swarm optimization algorithm with differential evolution hybridization (CPSO-DE) to include constrained optimization, named Ts-CPD. It is implemented as a kernel of electronic design automation (EDA) tool capable of sizing circuit components considering a single-objective design with restrictions and constraints. The aim is to improve the optimization solutions in the sizing of analog circuits. To evaluate our proposal’s performance, we present the design of three analog circuits: a differential amplifier, a two-stage operational amplifier (op-amp), and a folded cascode operational transconductance amplifier. Numerical simulation results indicate that Ts-CPD can find better solutions, in terms of the design objective and the accomplishment of constraints, than those reported in previous works. The Ts-CPD implementation was performed in Matlab using Ngspice and can be found on GitHub (see Data Availability Statement).

1. Introduction

In recent years, analog circuit design has received much attention, particularly those with Very Large Scale of Integration (VLSI), because optimization is a process that involves many conflicting constraints and a wide range of parameters [1]. For small circuits, the equations can be stated by hand, as in the design of passive filters [2]. However, developing more robust Computer-Aided Design (CAD) and Electronic Design Automation (EDA) tools is necessary to increase productivity and quality and minimize design costs [3].
The design of analog circuits comprises three major stages: selecting a topology, sizing components, and layout extraction [4]. In the case of sizing, it is possible to use the experience when the circuits are small, but manual circuit-sizing in analog design is a time-consuming process [5]. When the circuit grows, it is impossible to size the components solely by experience; thus, mathematical tools are necessary to optimize the circuits [6].
The complexity when manually implementing an analog project is usually weeks or months. CAD and EDA tools are used to improve the design process; today’s analog design environment is made of CAD tools for editing, evaluation, and design verification of analog integrated circuits, for example, HSPICE, SMASH, and CADENCE. Circuit simulators do not allow the use of methods such as quadratic or geometric programming, which exploit particular characteristics of the models. As a result, stochastic heuristic optimization techniques are used instead [7], such as in [8].
Many optimization techniques and tools for automation design have been developed over time [9,10]. Also, the fuzzy logic has been used for the circuit design as in [11,12], or in [13], where a multi-objective design is presented, while in [14], a tool for analog synthesis is introduced. In [15], a Neuro-Fuzzy method for analog circuit design is presented; it is of easy implementation, natural understanding, and better performance than static methods of fuzzy optimization; however, it still needs the human experience in the particular circuit to be designed. In [16], the application of an innovative algorithm of the type Customized Genetic Algorithm (CAG) is reported. Its purpose is to improve the optimization process of analog Complementary Metal-Oxide-Semiconductor (CMOS) ICs. A framework for facilitating the design of analog amplifiers is presented in [17].
More recently, evolutionary algorithms have been successfully applied to component value selection for analog active filters [18,19], facility location problem [20], truss structures [21], and to the analog integrated circuits design as in [22], where the sizing is achieved using a Particle Swarm Optimization (PSO) algorithm implemented in MATLAB R2008a and the results verified at the end with SPICE. In [23], a CMOS differential amplifier and a two stages CMOS op-amp are optimized to occupy the minimal possible area by the circuits and to improve their performances using the gravitational search algorithm in combination with the particle swarm optimization (GSA-PSO). The design is formulated as an optimization problem with a single objective function, although certain manual tuning is necessary to resolve conflicts with either design or performance parameters when using this method. In the work [24], a crazy PSO (CRPSO) is applied to improve the premature convergence to a local minimum of the PSO; the application optimizes the minimization of the total Metal-Oxide-Semiconductor (MOS) area of two amplifier configurations, a two-stage P-Channel MOS (PMOS) type operational amplifier, and an N-Channel MOS (NMOS) cascade code amplifier.
Heuristic techniques are necessary to solve problems with many design constraints [25]. Although they do not guarantee finding the optimal solution exactly, they provide an acceptable approximation to it in an acceptable computation time [26]. Therefore, another challenge for sizing high-performance analog circuits with tight specifications is the need for a powerful enough optimization kernel for EDA tools to handle tighter specifications and improve optimization capability [27]. Different optimization kernels are currently used for EDA tools; among them, we can mention the kernels based on GA [28], PSO [29], Ant Colony Optimization (ACO) in [30], Simulated Annealing (SA) in [31], GSA in [23], Non-dominated Sorting Genetic Algorithm-II (NSGA-II) in [32] and NSGA-II, Multi-Objective Particle Swarm Optimization (MOPSO), and Multi-Objective Simulated Annealing (MOSA) in [33].
Most heuristic methods used in the optimization kernels of the EDA tools are based on multi-objective optimization techniques [7,32] or use a restriction approach with a single objective and static penalty functions [34]. Penalty functions penalize non-feasible solutions by adding a specific value to the objective function as an amount proportional to the violation of the restriction. Thus, the optimization problem is transformed into a restrictionless optimization problem. The main problem with this methodology is choosing the appropriate penalty factor for a particular problem; it is often a complicated task, but if an adequate factor is selected, a premature convergence can occur or solutions outside the feasible region can be obtained [35]. Another approach currently used in problems with restrictions is self-adaptive penalty functions, which significantly improve the results [36]. Unfortunately, many last-generation restricted optimization methods have yet to be introduced into EDA tools. Therefore, advanced restricted optimization methods should be applied to circuit dimensioning tools to address this challenge.
In recent years, algorithms inspired by cellular automata neighborhoods to perform a local search, such as Cellular-PSO (CPSO) [37], CPSO-DE [38], Continuous-state Cellular Automata Algorithm (CCAA) [39], and Majority-minority Cellular Automata Algorithm (MmCAA) [40], have shown excellent performance in solving global optimization problems, demonstrating a good balance between exploration and exploitation, as well as a good speed of convergence. Among them, the CPSO-DE has proven to be an excellent design method for identifying adaptive IIR systems due to the use of a differential evolution rule for the neighborhoods of cellular automata of the PSO that improves the balance between exploration and exploitation than the original version of the CPSO.
According to the previous observations, this document introduces the hybrid continuous optimization algorithm called CPSO-DE that incorporates local-search neighborhoods to improve PSO exploitation capabilities with DE exploitability. The algorithm was tested on established benchmark functions of Congress on Evolutionary Computation (CEC 2005) [41] against 7 recently published algorithms for global optimization, yielded satisfactory results.
Additionally, Deb’s rules were incorporated into the algorithm to address constrained optimization [42,43]; this algorithm is called Ts-CPD applied in a single design objective problem, for the sizing of analog circuits to improve their performance. The approach is used as the optimization core of an EDA tool to size CMOS analog circuits efficiently. In particular, we focus on diminishing the total component area as the objective. At the same time, other specifications, such as dc gain, bandwidth and power dissipation, are treated as constraints that guarantee good overall performance. The circuits chosen for testing our method are well known, which allows a comparison of results with other proposals. We implemented the optimization in Matlab while the circuit simulation was done in Ngspice. Both optimization and simulation parts are linked.
We compare our proposal with previously published works, including PSO variants such as Particle Swarm Optimization (PSO) [22], Genetic Algorithm (GA) [44], Harmony Search (HS) [45], Differential Evolution (DE) [45], Artificial Bee Colony (ABC) [45], Gravitational Search Algorithm PSO (GSA-PSO) [23], Geometric Programming (GP) [46] and Aging Leader and Challenger PSO (ALC-PSO) [1]. The results show that Ts-CPSO can find a better circuit design solution than the above-listed approaches. In addition, it shows a rapid convergence in all the studied cases.
Overall, the proposed CPSO-DE algorithm is easy to understand, performs exceptionally well for continuous optimization, and is modified with Deb’s rules to define the Ts-CPD algorithm in order to tackle problems with multiple constraints, as demonstrated in the area optimization of CMOS analog circuits.
The rest of the paper is organized as follows: Section 2 gives a review of CPSO-DE, while the hybridization of CPSO-DE with constrained optimization is explained in Section 3. Section 4 describes three circuits in terms of their design variables and constraints. Section 5 validates the proposed Ts-CPD through three cases of study, contrasting the findings against results from previous works. Finally, this article is concluded in Section 6.

2. Review of CPSO and CPSO-DE

2.1. Cellular Particle Swarm Optimization

PSO is one of the most frequently applied swarm intelligence-based algorithms for optimization tasks. PSO simulates the behavior of a bird flock, looking for an equilibrium between exploration and exploitation of the current solutions. Particles in a d-dimensional search space are regarded as candidate solutions. We denote the i-th particle as,
X i = ( x i , 1 , x i , 2 , , x i , d ) ,
and its velocity as,
V i = ( v i , 1 , v i , 2 , , v i , d ) .
Each particle evolves in the search space, where P i = ( p i , 1 , p i , 2 , , p i , d ) is the personal best position of the i-th particle so far and G = ( g 1 , g 2 , , g d ) is the global best position discovered by the swarm. At each time step t, both the velocity and position of each particle are updated to move it into a new position. Velocity and position are updated as follows:
V i t + 1 = V i t + c 1 r 1 ( P i t X i t ) + c 2 r 2 ( G t X i t ) X i t + 1 = X i t + V i t
where c 1 and c 2 are two positive constants (cognitive and social factors), r 1 and r 2 are two uniform random numbers in [ 0 , 1 ] . The fitness h ( X i ) of a particle gives its quality, that is, a better fitness value means a better particle.
Several papers have presented the adaptation, modification, and hybridization of PSO with other techniques to solve a huge variety of problems. Relevant surveys can be consulted in [47,48,49].
CPSO is a recent variant of PSO that enhances its performance by applying a local search based on cellular automata neighborhoods [37]. In this reference, it is explained that there are two crucial factors in population-based optimization algorithms: communication mechanisms for the cooperation of the population and information inheriting for the self-adaption of each individual.
The concept of cellular automata (CAs) was first proposed by Von Neumann and Ulam, and there are an increasing number of researchers using CAs in physics, biology, social science, computer science, and so on [50,51,52].
CAs are discrete dynamical systems that operate on a grid of cells. Each cell initially takes a value from a finite set of states. The simplest CAs are one-dimensional, such as elementary CA (ECAs), where each cell can be in one of two states, like 0 or 1. To update the state of a cell in an ECA, the current state of the cell and its neighbors on either side are taken into account. This creates neighborhoods of three cells, and a mapping specifies how the central cell of each neighborhood evolves. This mapping, known as the ECA evolution rule, determines how each cell’s state changes over time. To ensure that all cells have complete neighborhoods, periodic boundary conditions are typically applied, meaning that cells at the ends of the one-dimensional array are joined together. All cells update their state simultaneously, generating a new array of states. In the case of ECAs, each neighborhood consists of three cells, and each cell can take one of two possible values: 0 or 1. This results in a total of 8 possible neighborhoods. The central cell of each neighborhood can evolve in one of two ways, resulting in a total of 256 different possible evolution rules.
ECAs have been extensively studied due to their ability to generate a wide range of global behaviors, from fixed to complex behaviors. Figure 1 shows examples of different ECAs taking 200 cells and 200 evolutions, where the evolution rule is identified by the binary value that specifies the evolution rule, taking the mapping of the neighborhood 000 as the least significant bit. The state 0 is represented with green color and the state 1 with yellow color. These examples demonstrate the evolution towards fixed point (A), periodic (B), chaotic (C), and complex (D) behaviors.
CAs have the ability to generate interesting global behaviors by locally mapping blocks to individual states. In this study, we hypothesize that this CA property can be integrated as an instrument to improve the effectiveness of the PSO. Specifically, we introduce a local search inspired by the neighborhood of a CA into the PSO operation. This mechanism enables a solution to update its position by taking information from neighboring solutions.
In this paper, we use the Cellular PSO Outer version (CPSO-outer). In this case, every particle improves its searching capability, generating new solutions not belonging to the swarm. The whole search space is considered the cell space, so every potential candidate solution in the search space can be a cell. Every particle in the swarm is a “smart-cell”, defined by (1), able to construct its neighborhood by a local function, enhancing its searching capability.
The neighborhood function makes CPSO-outer differ from common PSO adopting static neighbors. Every particle X i (or “smart-cell”) in CPSO-outer generates a set of l neighbors N i + 1 N i + l taking its current position and the global best position in order to realize a local search, following the next equation [37]:
N i + j = X i t + h ( G ) h ( X i t ) R V i t h ( X i t ) 0 , h ( G ) 0 X i t + h ( X i t ) h ( G ) R V i t h ( X i t ) 0 , h ( G ) < 0 X i t + e h ( G ) e h ( X i t ) 2 R V i t h ( X i t ) = 0 , h ( G ) 0 X i t + e h ( G ) e h ( X i t ) 2 R V i t h ( X i t ) = 0 , h ( G ) < 0
for 1 j l . R is a vector composed of d uniform random numbers in [ 1 , 1 ] to obtain random changes in the direction and distance of every new neighbor, and ∘ is the Hadamard product, h ( G ) is the fitness of the global best position, h ( X i t ) is the fitness of ith particle. The idea is that the search range of every particle would be negligible at early iterations when the difference of its fitness value with that of h ( G ) is relatively significant. Then, when particles converge gradually to h ( G ) , a more extensive search range is used.
The neighbors generated by each particle are evaluated, and the neighbor with the best fitness value replaces the particle:
f ( ϕ ) = m i n ( h ( X i ) , h ( N i + 1 ) , , h ( N i + l ) ) X ϕ = X i if f ( ϕ ) = h ( X i ) N i + j if f ( ϕ ) = h ( N i + j ) X i t + 1 = X ϕ t .
This transition rule gives particles new information to explore the search space from an optimal local area to another optimal local area with better fitness value and enhance the diversity of the swarm. So CPSO-outer has more significant potential to search for the global optimum.
The CPSO has been applied and modified to solve a variety of theoretical and practical problems. For instance, in [53], CPSO is used to optimize a milling system. In [54], truss structures are optimized using variants of CPSO, and parameters controlling process planning are tuned by the application of CPSO [55]. Nevertheless, CPSO has not been implemented for sizing analog circuit components.

2.2. Hybrid Cellular Particle Swarm Optimization and Differential Evolution

Hybrid cellular particle swarm optimization and differential evolution (CPSO-DE) is a recent hybrid method that combines the features of PSO, CA, and DE [38], which is an incorporation of local differential search to the CPSO-outer algorithm.
The CPSO-DE algorithm utilizes local differential-search elements, which can be defined as follows.
(a)
configuration: (Q particles or smart-cells);
(b)
cell space: the set of all cells;
(c)
cell state: the particle’s information at time t, S i t = [ X i t ] ;
(d)
neighborhood: Φ ( i ) = { i + δ j } , 1 j l (l is the neighborhood size). See Figure 2,
(e)
transition rule: S i t + 1 = φ ( S i t S Φ ( i ) t ) .
In CPSO-DE, the i-th cell state S i t in the iteration t is updated using the PSO algorithm as follows:
V i t + 1 = w t V i t + c 1 r 1 ( P i t S i t ) + c 2 r 2 ( P g t S i t )
S i t + 1 = S i t + V i t + 1
where i = 1 , 2 , , Q is the cell index and Q is the number of smart cells, c 1 and c 2 are the cognitive and social acceleration parameters respectively, r 1 and r 2 are two uniform distributed random numbers within [ 0 , 1 ] , w is the inertial weight and decreases linearly. P i is the previous personal best position, P g is the global best position, and  X i and V i are the current positions and velocity.
The operators used to determine each smart cell’s neighborhoods are mutation and crossover. The mutation scheme “DE/rand/1”creates a new solution as follows:
O i , k t = S r 1 t + c 3 ( S r 2 t S r 3 t )
where k = 1 , 2 , , l enumerates every neighbor, and l is the neighborhood size. The  r 1 , r 2 , r 3 { 1 , 2 , , Q } are randomly chosen integers, distinct from each other and different from i. Factor c 3 is a real value between [ 0 , 2 ] for scaling the difference vector.
The crossover is an introduction to creating l trial vector H i , k , combining the information of the current smart cell with each one of the l mutated vectors, as follows:
H i , j , k = O i , j , k t , if   r i , j C r o r j = j r a n d , S i , j t , otherwise ,
where r i j is a uniformly distributed random number within [ 0 , 1 ] , C r [ 0 , 1 ] is the crossover probability factor, and  j r a n d { 1 , 2 , , D } is a randomly chosen index, which ensures that H i , k copies at least one component from O i , k . Finally, the transition rule is applied over the trial vectors to update the state of the current smart-cell:
S i t + 1 ( P Φ ) = φ ( f ( S i t + 1 ) , f ( H i , 1 ) , f ( H i , 2 ) , , f ( H i , l ) )
where the f ( . ) are the fitness functions. In CPSO-outer, the neighborhood function Φ ( i ) generates random neighbors within radius ξ t away from S i t according to its fitness value and the fitness of the best particle. Radius ξ t is small when the smart-cell S i t is far from P g t , so the potential neighbors are close to S i t , and only when S i t converges to an equilibrium point, ξ t would be a uniform random number in [ 1 , 1 ] . Therefore, the radius of neighborhoods in CPSO-outer increases when the particles stabilize. Therefore, the best results are obtained up to the last iterations.
On the other hand, CPSO-DE generates a random neighbor within radius ξ t = c 3 ( S r 2 t S r 3 t ) . Thus, the radius of neighborhoods depends on the distribution and the improved information of the swarm as iteration passes, not just from the difference with the best global position. Thus, S i t is more likely to obtain better neighbors in any iteration time.

3. Tournament-Selection CPD

The use of local search strategies inspired by cellular automata neighborhoods in heuristic algorithms has been shown to be effective, more specifically, in the use of adaptive IIR filters through the hybridization of the CPSO and DE algorithms that use a rule based on the use of neighborhoods. However, the CPSO-DE algorithm for the problem with restrictions on the sizing of CMOS circuits has not yet been reported in the literature, hence the motivation for this work.
In this section, we explain the parts that comprise the proposed Ts-CPD algorithm. First, we describe the optimization problem to be solved, which contemplates restrictions. Next, we explain how the initial values are selected, for our algorithm, using tournament selection (Ts), which is a variant of what Deb proposed [42]. We conclude this section by explaining the implementation of the Deb rule in the CPSO-DE, to build the new Ts-CPD algorithm.

3.1. The Circuit Design Problem

Many optimization problems in science and engineering implicate some constraints that the optimal solution must satisfy. For example, in a generic circuit, the optimization problem consists of finding optimal values of the design parameters. Then, a circuit design problem is usually written as a nonlinear programming (NLP) problem of the following type:
minimize f ( X ) X R n Subject to : | g p | s p e c g p p = 1 r h q = s p e c h q q = 1 s x i , m i n x i x i , m a x i = 1 n
In the above NLP problem, f is the cost function that maps the input space into the output one, f : R n R , with n = k + m. There are two types of constraints, inequality constraints g p that have to be major or minor than certain s p e c g p , and the equality constraints h q , that has to be equal to the restriction s p e c h q . The ith variable varies in the range [ x i , m i n , x i , m a x ] .
The k independent variables and m dependent ones determine the circuit design represented in a single vector as,
X = ( x 1 , , x k , x k + 1 , , x k + m ) .
The design variables and constraints for specific circuits studied in this paper are given in the Section 4.

3.2. Tournament-Selection

As in the cost function f(X) of the optimization problem expressed in (11), the restrictions are not considered; we need a method that allows us to assess their contribution. In [42], Deb proposes a constraint handling method so that while the cost function is minimized, the constraints in the search for the minimum are considered. We will use Deb’s method in this work, as explained below.
Let’s say that the CPSO-DE algorithm has encountered two solutions for the problem (11), X 1 and X 2 , according to the constrained optimization, solution X 1 is considered better if [43]:
  • both solutions are feasible, but  X 1 cost X 2 cost; or,
  • X 1 is feasible but X 2 is not; or,
  • both solutions are unfeasible, but  X 1 has less overall constraint violations than X 2 .
These rules, implemented as Algorithm 1, are advantageous in finding a better solution for the circuit design, as will be shown in Section 5.
Algorithm 1 Tournament-Selection ( X 1 , X 2 )
1:
if  X 1 is feasible and X 2 is feasible then
2:
   if  f ( X 1 ) < f ( X 2 )  then
3:
      return  ( X 1 )
4:
   else
5:
      return  ( X 2 )
6:
   end if
7:
else if constraints violation ( X 1 ) < constraints violation ( X 2 ) then
8:
      return  ( X 1 )
9:
else
10:
      return  ( X 2 )
11:
end if

3.3. Ts-CPD Algorithm

This work proposes a new methodology that combines the CPSO-DE algorithm and Deb’s rules for the problem of sizing CMOS analog circuits with constraints. The proposed algorithm, Ts-CPD, incorporates the tournament selection (see Algorithm 1) in the Ψ ( ) function. In this method, a new transition rule is proposed for Ts-CPD, which is applied to the trial vectors to update the state of the current smart-cell:
S i t + 1 ( P Φ ) = Ψ ( Ψ ( Ψ ( S i t + 1 , H i , 1 ) , H i , 2 ) , , H i , l )
The transition rule in (13) means that each cell in the neighborhood (including the same smart-cell) competes in a paired tournament (according to Deb criteria), and the winner is chosen to update the state of the smart-cell.
The proposed Ts-CPD method is described in Algorithm 2. First, the algorithm sets the control parameters Q, l, T, x m i n , x m a x y v m a x . Next, the state ( S ) and velocity ( V ) are randomly initialized for each smart-cell. Then, each cell is evaluated, and its number of violated constraints is quantified. In line 9, Algorithm 1 is used to identify the best global position. The process halts according to the stopping criteria of iteration and convergence, according to line 10. Then, the cell state is updated using (6) and (7) in line 12. Later, the neighborhood of size l is generated for each smart-cell, using the DE method. Each neighbor is defined by the mutation and crossover rules in lines 14 and 15 using (8) and (9), respectively. The new transition rule inspired by Deb’s rules and CA behavior, defined in (13), is applied in line 16 to determine the new cell state. Finally, the best local and global positions are updated in lines 18 and 19, respectively, using Algorithm 1. The process is repeated by each smart-cell and neighbor.
Algorithm 2 Ts-CPD
1:
//** Initialization
2:
Set the control parameters: Q, l, T, x m i n , x m a x , v m a x ;
3:
for  i = 1 to Q do
4:
   Initialize S i ( x m i n , x m a x ) randomly;
5:
   Initialize V i ( v m a x , v m a x ) randomly;
6:
    P i = S i ;
7:
end for
8:
Evaluate each cell f ( S i ) ;
9:
Identify the best global position ( P g ) : using Algorithm 1;
//*** Loop
10:
while stopping criterion is not satisfied do
11:
   for  i = 1 to Q do
12:
     Update cell state: using Equations (6) and (7);
     //***Generate l neighbors using DE method
13:
     for  k = 1 to l do
14:
        Mutation rule: using Equation (8);
15:
        Crossover rule: using Equation (9);
16:
        New transition rule: using Equation (13);
17:
     end for
18:
     Identify the best local position ( P i ) : using Algorithm 1;
19:
   end for
20:
   Identify the best global position ( P g ) : using Algorithm 1;
21:
end while

3.4. Performance of the Ts-CPD Algorithm

To test the effectiveness of the Ts-CPD algorithm (without Deb’s rules), we compared it to seven recently published algorithms, namely Archimedes Optimization Algorithm (AOA) [56], Harris Hawks Optimization (HHO) [57], Weighted Superposition Attraction (WSA) [58], CCAA [39], MmCAA [40], Reversible Elementary Cellular Automata (RECAA) [59], and Political Optimizer (PO) [60]. 25 benchmark functions were used from CEC 2005 benchmark functions [41], which included five unimodal functions ( f 1 , , f 5 ), seven multimodal functions ( f 6 , , f 12 ), two expanded multimodal functions ( f 13 , f 14 ), and 11 hybrid composition multimodal functions ( f 15 , , f 25 ). We obtained the codes and parameters for these algorithms from the references cited in this study. This ensured that we used the same implementations as the original authors, making the comparison more objective. All parameter settings are given in Table 1.
Table 2 and Table 3 present the average values and standard deviations of the objective function values obtained by each algorithm. We ran each algorithm independently 30 times. In unimodal problems, the Ts-CPD algorithm showed excellent performance, ranking first among the eight algorithms in terms of average value. Moreover, it surpassed other algorithms in three cases based on standard deviation, highlighting its proficiency in information exploitation.
For the 20 multimodal and hybrid problems, Ts-CPD exhibited the highest average values in 12 instances. It also demonstrated its ability to explore and exploit simultaneously while maintaining robustness, achieving the best standard deviation values in four cases.
Table 4 presents the results of the Wilcoxon rank-sum statistical test which compares Ts-CPD with other methods for each benchmark function. The symbol + indicates a better result that is statistically significant, ≈ indicates no significant difference, and − indicates a worse statistically significant result. The Avg column presents the average rank obtained by each algorithm when optimizing the benchmark functions. The Rank column shows the order in which each algorithm is ranked based on its average. Ts-CDP obtained the best rank, followed by RECAA. In all cases, Ts-CPD obtained a more significant difference in terms of the number of functions with a better significant result in this experiment. In addition, Figure 3 shows some examples of the convergence curves for different test functions in 30 dimensions.

3.5. Complexity Analysis of the Ts-CPD Algorithm

Most evolutionary algorithms imply a complexity of the following three main parts [60,61]:
  • Initialization of population, generally bounded by O ( U D ) where U is the population size and D the dimensionality of the problem.
  • Fitness evaluation is bounded in general by O ( U C o b j ) where C o b j is the cost of evaluating the objective function.
  • Optimization loop, generally bounded by O ( T U D + T U C o b j ) , here T is the total iteration number of the loop.
The complexity analysis of the Ts-CPD algorithm takes into account these three parts:
  • Initialization of population is bounded by O ( Q D ) , similar to other algorithms (lines 3–7 in Algorithm 2).
  • Fitness evaluation is bounded by O ( Q C o b j ) in line 8. Notice that Algorithm 1 is linear with regard to C o b j when using Deb criteria. The best global position is calculated in O ( Q ) in line 9.
  • For the optimization loop, smart-cells are updated with complexity O ( T Q D ) (line 12); mutation and crossover have complexity O ( T Q l D ) (lines 14 and 15), and the new transition rule is O ( T Q l C o b j ) in line 16. The best local position in line 18 is calculated in O ( T Q l ) , and the best global position is O ( T Q ) in line 20. Therefore, the complexity of the optimization loop asymptotically tends to O ( T Q l D + T Q l C o b j ) , which is also equivalent to the other algorithms.
The complexity analysis concludes that the Ts-CPD algorithm is asymptotically equivalent to the other state-of-the-art methods when Q l is similar to U.

4. The Proposed Tool for Analog IC Sizing

The EDA tool proposed for the designer of analog circuits through the Ts-CPD algorithm allows obtaining a minimum area of the components used while complying with the design specifications. It is handy for designing the frequency response of circuits, such as bandwidth, phase margin, Common Mode Rejection Ratio (CMRR), or Power Supply Rejection Ratio (PSRR); only the slew rate can be designed in the time domain. For this purpose, before beginning the design, the designer must introduce the specifications (restrictions) of the circuit and the acceptable ranges and values for the parameters according to the technology used. The parameters to choose are the width and length of the CMOS transistors, capacitance and resistance (if any) values, bias current, and voltage sources.
The tool consists of two main modules: the optimization and synthesis processes. The optimization process contains the Ts-CPD algorithm comprising the CPSO-DE and the Deb rule, with a new transition rule given by (13); this module is implemented in Matlab. The synthesis process uses the specialized Ngspice v26 software, which allows analog circuit simulations without mathematical equations. Instead, the standard configurations necessary to evaluate the performance of circuits are implemented in a netlist format. Both modules, the optimization and synthesis processes, are linked, allowing an automatic circuit design. The flow chart for our EDA tool, using Ts-CPD, is shown in Figure 4.
The following subsection describes three case studies, in terms of their variables and constraints, that will be used to verify the efficiency of the EDA tool.

4.1. Cases of Study

To test our algorithm and tool, we chose three case studies, a “CMOS Differential Amplifier”, a “CMOS two-stage operational amplifier”, and a “CMOS folded cascode operational transconductance amplifier”. These cases were chosen because they have already been studied previously, and therefore, it is possible to compare the results of our algorithm against previous results, which is very interesting. In this sense, case 1 has 5 independent variables and 11 restrictions to meet, case 2 has 5 independent variables and 11 restrictions, while case 3, the most complete, has 9 independent variables and 13 restrictions to meet at the same time.

4.1.1. Case 1: CMOS Differential Amplifier

Figure 5 shows our first case of study, a CMOS differential amplifier, where, W is the width and L is the length of the CMOS transistor. First, M 1 must be equally sized than M 2 ; thus, the following equality restrictions must be satisfied:
Secondly, s of the current source, M 3 and M 4 , must be equally sized, too, thus
W 3 = W 4 and L 3 = L 4 .
W 1 = W 2 and L 1 = L 2 .
We let both W 5 and W 6 be independent variables, and our algorithm selects their values while L 5 = L 6 . That is because the sizes of all s are within a specific range imposed by the technology used for this design:
W n , m i n W n < W n , m a x , n = 1 , 2 , , 6 .
In our case, W n , m i n was fixed to 4 μ m for a better comparison with other works, and W n , m a x was fixed to 120 μ m to have a value large enough. For this example, there are 5 independent variables ( W 1 , W 3 , W 5 , W 6 and I b i a s ) and 2 dependent ones ( W 2 and W 4 ). On the other hand, the design specifications to be met will be treated as constraints. For this case, there are 11 constraints: load capacitance, slew rate, power dissipation, phase margin, cut-off frequency, DC gain, V I C (min), V I C (max), Common Mode Rejection Ratio (CMRR), Positive Power Supply Rejection Ratio (PSRR+) and Negative Power Supply Rejection Ratio (PSRR−).

4.1.2. Case 2: CMOS Two-Stage Operational Amplifier

Figure 6 shows our second case of study, a CMOS two-stage operational amplifier consisting of 8 s. The first amplification stage, differential input, has the stipulation that M 1 must be equally sized as M 2 , so that Equations (15) and (14) are still valid, and we add,
W 5 = W 8 and L 5 = L 8 .
Also, to avoid an output offset at the second amplification stage, the following restriction is imposed:
W 7 / L 7 W 5 / L 5 = 2 W 6 / L 6 W 4 / L 4 .
Similarly, as in (16), sizes of the CMOS two-stage operational amplifier are in a specific range, but now n = 8 . Also, the compensation capacitance is within a range of values, between C C , m i n and C C , m a x , which the designer selects:
C C , m i n C C < C C , m a x .
The C C , m i n and C C , m a x values are fed to the Ts-CPD algorithm through a file in our EDA tool. We choose C C , m i n = 2 pF, because lower values than that are challenging to achieve and C C , m a x = 14 pF to avoid using significant areas, but these values are easily changed.
On the other hand, bias current I B I A S also is within a range o values:
I B I A S , m i n I B I A S < I B I A S , m a x .
It is clear from Equations (15), (14) and (17) that, for the purpose of design, W 2 , W 4 and W 6 can be handled as independent variables, while W 1 , W 3 and W 5 as can be handled as dependent ones. W 7 is deduced from (18), thus, W 7 is also a dependent variable; I B I A S and C C are considered independent variables whose values are bounded by (19) and (20), respectively. Therefore, this example has 5 independent variables, W 2 , W 4 , W 6 , I B I A S and C c , whose values are selected by our algorithm and 5 dependent variables W 1 , W 3 , W 5 , W 7 and W 8 , whose impact over cost function and restrictions is evaluated by our algorithm to determine new values for independent variables, in an iterative process.
In this paper, the length of s is considered constant. However, when lengths are considered variables, the minimum and maximum values must be established, as for widths in Equation (16). For this case, there are 11 constraints: load capacitance, slew rate, power dissipation, phase margin, unity gain bandwidth, DC gain, V I C (min), V I C (max), CMRR, PSRR+, and PSRR−.

4.1.3. Case 3: CMOS Foilded Cascode Operational Transconductance Amplifier

A third case of study is the Folded Cascode Operational Transconductance Amplifier (FCOTA) shown in Figure 7. The transistors M 1 and M 2 are equally sized; thus, Equation (15) is also valid. We considered the transistor widths W 3 and W 4 independent variables and W 5 and W 14 dependent ones, as follows:
In addition, W 6 , W 8 , W 12 and W 15 are considered independent variables while W 7 , W 9 , W 10 , W 11 , and W 13 are considered dependent variables, as follows:
W 6 = W 7 = W 13 and L 6 = L 7 = L 13 ,
W 4 = W 5 = W 14 and L 4 = L 5 = L 14 .
W 8 = W 9 = W 10 = W 11 and L 8 = L 9 = L 10 = L 11 .
Table 5. Design criteria for CMOS differential amplifier (Case 1) and results obtained with several evolutionary algorithms. The best values are in bold.
Table 5. Design criteria for CMOS differential amplifier (Case 1) and results obtained with several evolutionary algorithms. The best values are in bold.
Design CriteriaSpecs.Ts-CPDMOL [62]SOA [63]PSO [22]HS [45]DE [45]ABC [45]GA [44]
Load capacitance (pF)≥22.153.555552
Slew rate (V/ μ s)≥1024.31012.2822.414.91618.45115.673.2
Power dissipation ( μ W)≤20001075863117126088699083031
Phase margin ( )>4586.18983.7383.889.188.8191.24872
Cut-off frequency (KHz)≥100100.5-104.8100114129.7112.367-
Unity gain bandwidth (MHz)≥11017.8712.512.3---3.8
DC gain (dB)≥4040.33044.024240.9841.2342.04560
V I C (min) (V)≥−1.5−0.8−0.5−0.37−0.8−0.7−0.92−0.97−1.3
V I C (max) (V)≤21.10.71.571.41.21.151.21.9
CMRR (dB)>4081.05983.1784.278.578.3979.67-
PSRR+ (dB)>4041.24160.5940.142.9343.1443.857-
PSRR− (dB)>4078.168108.66867.6468.17568.423-
Total component area ( μ m 2 )<300109235236296---6500
AFOM S S (MHz·pF)/( μ W·mm 2 ) 179457318165---40
The values of the bias current I B I A S are bounded by (20) and properly selected by our algorithm. For design, we considered R 1 as an independent variable. Thus, our algorithm also selects its value within 1000 < R 1 < 6000 , while R 2 is considered a dependent variable, with R 1 = R 2 . This way, there are 9 independent variables ( W 1 , W 3 , W 4 , W 6 , W 8 , W 12 , W 15 , I B I A S and R 1 ) and 9 dependent variables ( W 2 , W 5 , W 7 , W 9 , W 10 , W 11 , W 13 , W 14 and R 2 ). The constraints for this case are 13: load capacitance, slew rate, power dissipation, phase margin, unity gain bandwidth, DC gain, V I C (min), V I C (max), V o u t (min), V o u t (max), CMRR, PSRR+ and PSRR−.

5. Numerical Results and Discussion

In order to test our proposed tool, three examples of design are shown in this section. First, the optimization is implemented in MATLAB R2014b, while the simulation of circuits is implemented in the NGSPICE r26 simulator; both are linked, so the design process is completely automated. On the other hand, the model of NMOS and PMOS transistors for 0.35 μ m technology was downloaded from the MOL database. Finally, the transistor lengths were set to fixed values close to those in the literature for comparison purposes.
Our design objective is to minimize the area of analog circuits. However, designing an amplifier is always a trade-off, so we introduce the Area Figure of Merit for small-signal ( A F O M s s ) that considers silicon area to assess the designed circuits’ overall performance [64]:
A F O M S S = ( f u · C L / P Q · A r e a )
where f u is the unity gain frequency, C L is the load capacitance, P Q is the power consumption at quiescent, and Area is the component (transistors) area.

5.1. Numerical Results for CMOS Differential Amplifier (Case 1)

As a first example, the differential amplifier of Figure 5 is designed. We aim to minimize the total component area, which is our cost function, below 300 μ m 2 while restrictions are still met. As shown in Table 5, the power dissipation is specified to be < 2200 μ W, DC gain ≥40 dB, slew rate 10 V/ μ s and the cut-off frequency 100 KHz. Other specifications are CMRR, PSRR+, PSRR−, and the Input Common-Mode Range (ICMR), all to be >40 dB, and finally V I C ( m i n ) 1.5 V and V I C ( m a x ) 2 V. The circuit’s load determines load capacitance, but the specification to be satisfied is 2 pF; we choose 2.1 pF. The AFOM S S is also shown.
For the optimization purpose, some variables are set to a fixed value, and the micro-channel lengths were set to L 1 = L 2 = L 3 = L 4 = 3.5   μ m, L 5 = L 6 = 1.4   μ m, and voltage sources were set to V d d = V s s = 2.5   V. On the other hand, C c and I b i a s are treated as independent variables with restrictions, i.e., they can run within a specific range of values in our algorithm.
The numerical results for the differential amplifier of Figure 5 are shown in Table 5; it presents a comparison of Ts-CPD with several methods: Many Optimizing Liaisons (MOLs) [62], Seeker Optimization Algorithm (SOA) [63], PSO [22], Harmony Search (HS) [45], DE [45], Artificial Bee Colony (ABC) [45], and GA [44]. The Ts-CPD obtains the lower total component area for methods that report this design objective and obtains the higher slew rate and PSRR−; other specifications are also accomplished. Here, the MOLs algorithm has the higher AFOM S S value. Table 6 shows the result of the designed differential amplifier for three evolutionary algorithms.
In order to explore the performance of the differential amplifier designed, we show the DC gain and phase margin in Figure 8a; The CMRR, PSRR+, and PSRR− in Figure 8b; Slew rate in Figure 8c; and the ICMR in Figure 8d, which is used for the graphical determination of V I C (min) and V I C (max). These graphics demonstrate that the designed circuit behaves well and is accomplished with all the constraints (Specifications).
Figure 9a shows the convergence of our algorithm for this circuit design, which has an excellent profile. Our algorithm’s behavior was also tested with 50 runs; the corresponding Box and Whisker plot is shown in Figure 9b. The median is 1.4168 ×  10 10   m 2 , which is still below the results reported for other algorithms; see Table 5.

5.2. Numerical Results for CMOS Two-Stage Operational Amplifier (Case 2)

As a second example, we designed the two-stage operational amplifier in Figure 6. Again, the aim is to minimize the total component area as much as possible while constraints are still met. The total component area is specified to be <300 μ m 2 , and in this case, the DC gain > 60 dB, unity gain bandwidth 3 MHz, phase margin 45 , slew rate 10 V/ μ s and load capacitance 7 pF. In other set of specifications, CMRR > 60 dB, PSRR + > 70 dB, PSRR + > 70 dB, V I C ( m i n ) > 1.5 V and V I C ( m a x ) 2 V. At the end, the AFOM S S is shown.
The microchannel lengths of all MOS transistors have been set to a fixed value, L 1 = L 2 L 8 = 0.8   μ m, while voltage sources are set to V d d = V s s = 2.5 V. Here, C c and I b i a s are independent variables. Thus, our algorithm determines its values in concordance with (19) and (20), respectively.
Table 7 shows the complete set of restrictions and design objective for the CMOS operational amplifier of Figure 6, as well as the comparison of methods Ts-CPD, GSA-PSO [23], PSO, and Geometric Programming (GP) [46]. As expected, the Ts-CPD has the lower component area and the highest slew rate and PSRR−. The AFOM S S , on the other hand, is higher for our algorithm. The design parameters of the optimized circuit are shown in Table 8.
The performance of the CMOS two-stage operational amplifier can be evaluated through the gain and phase plot in Figure 10a; the CMRR, PSRR+, and PSRR− plots in Figure 10b; the ICMR in Figure 10c; and the slew rate in Figure 10d. These plots also demonstrate the excellent performance of the designed circuit.
On the other hand, we evaluated the performance of our algorithm with the convergence profile in Figure 11a, and the Box and Whisker plot of Figure 11b. After 16 iterations, the Ts-CPD reached convergence; see Figure 11a. We executed 50 trial runs for the circuit design; Figure 11b shows the corresponding Box and Whisker plot for the total MOS area of transistors. The best value is 4.557 × 10 11 m 2 , but the median (6.1738 × 10 11 m 2 ) is also lower than others reported for this circuit, as can be seen in Table 7.

5.3. Numerical Results for CMOS Folded Cascode Operational Transconductance Amplifier (Case 3)

Our third example is the folded cascode operational amplifier shown in Figure 7. The total component area specified is < 1315.9   μ m 2 (our design objective). At the same time, specified constraints are gain > 74 dB, unity bandwidth 10 MHz, phase margin > 60 , slew rate 10 V / μ s and load capacitance 10 pF (we chose exactly 10.0 pF). More constraints are CMRR, PSRR+, PSRR− all three 55 dB, V I C ( m i n ) 1.5 , V I C ( m a x ) 2.5 , and finally V o u t ( m i n ) 2 and V o u t ( m a x ) 2 . And at the end, the AFOM S S is shown.
For all MOS transistors, the lengths have been set to a fixed value, L 1 = L 2 L 15 = 1.5 μ m, and the voltage sources are set to V d d = V s s = 2.5 V. Besides the transistor widths ( W i ), I b i a s , R 1 and R 2 are also variables.
Table 9 shows the numerical results for the FCOTA of Figure 7 and a comparison of methods Ts-CPD and PSO with Aging Leader and Challengers (ALC-PSO) [1]. Our proposal, Ts-CPD, has the lower total component area (our design objective) and the highest Unity gain bandwidth, phase margin, CMRR, and PSRR−, while other constraints are also met. Additionally, the AFOM S S is greater for our algorithm. The parameters of the optimized circuit for the two proposals are shown in Table 10.
The excellent performance of the CMOS folded cascode operational transconductance amplifier is demonstrated through the plots of gain and phase in Figure 12a; CMRR, PSRR+, and PSRR− in Figure 12b; the slew rate in Figure 12c; and the ICMR, Figure 12d.
The Ts-CPD performance is evaluated with the convergence profile shown in Figure 13a and the Box and Whisker plot of Figure 13b. As can be seen in Figure 13a, the Ts-CPD converges very quickly for this circuit design in just 5 iterations. Figure 13b shows the Box and Whisker plot for 50 trial runs for the total MOS area of transistors. The median is 5.9674 × 10 11 m 2 , and the solutions are very clustered towards this value.

6. Conclusions

The Ts-CPSO algorithm that was proposed and implemented improves the CPSO by incorporating a way of evaluating the performance of constraints, through the optimization-with-constraints method, with a new rule we proposed. This algorithm has the advantage of not only minimizing the objective function but also ensuring that the constraints are met and then generating the new parameter values. Then the Ts-CPSO algorithm is incorporated into our EDA tool for the optimal sizing of analog circuits, which does not require mathematical equations since the optimization is linked to a simulator that provides the circuit’s behavior.
The Ts-CPD algorithm, as part of our EDA tool, was tested with three cases of study in a 0.35 μm CMOS technology, a differential amplifier, a two-stage operational amplifier, and a folded cascode operational transconductance amplifier. It was proposed as a design objective to reduce the total area occupied by the transistors while complying with some established constraints. In all cases, our tool found a better solution, for the objective, than previously reported tools, while the constraints were kept within the desired limits.
In future work, we are going to implement a multi-objective algorithm, which we will add as the kernel of our EDA tool. We will also do design tests with analog circuits with more transistors and large-scale analog circuits, such as the Analog-to-Digital Converter (ADC), considering the Layout design. As another potential future project, a framework incorporating multiple algorithms for optimizing various analog circuits can be developed. This framework would allow users to customize each algorithm’s parameters to enhance its performance, compare the different methods with convergence plots and identify the optimal design. It would be interesting to conduct a future study comparing Ts-CPD with other algorithms that are known for their success in solving CEC test problems and real-world applications. Some of these algorithms include Adaptive Differential Evolution with Optional External Archive (JADE), Success-History Based Adaptive Differential Evolution (SHADE), Self-adaptive Differential Evolution with Lévy-flight (LSHADE) and Improving Multi-objective Differential Evolutionary (IMODE).

Author Contributions

Conceptualization, P.L.-E. and P.M.-R.; methodology, P.L.-E. and P.M.-R.; validation, J.C.S.-T.-M. and N.H.-R.; formal analysis, P.L.-E., P.M.-R. and J.C.S.-T.-M.; investigation, P.L.-E., P.M.-R., J.C.S.-T.-M. and N.H.-R.; resources, P.M.-R. and J.C.S.-T.-M.; writing—original draft preparation, P.L.-E., P.M.-R., J.C.S.-T.-M. and N.H.-R.; writing—review and editing, P.M.-R. and J.C.S.-T.-M. visualization, P.L.-E., P.M.-R. and J.C.S.-T.-M.; supervision, J.C.S.-T.-M. and N.H.-R.; funding acquisition, J.C.S.-T.-M. All authors have read and agreed to the published version of the manuscript.

Funding

This study was supported by the Autonomous University of Hidalgo (UAEH) and the National Council for Humanities, Science and Technology (CONAHCYT) with project number F003-320109.

Institutional Review Board Statement

Not applicable.

Informed Consent Statement

Not applicable.

Data Availability Statement

The Ts-CPD source code is available on Github https://github.com/pmirandar/Ts-CPD-EDA-Tool (accessed on 20 October 2023).

Conflicts of Interest

The authors declare that they have no known competing financial interest or personal relationships that could have appeared to influence the work reported in this paper.

Abbreviations

The following abbreviations were used in this research:
ABC   Artificial Bee Colony
ACOAnt Colony Optimization
ADCAnalog-to-Digital Converter
A F O M S S Area Figure of Merit (for small signal)
ALC-PSOPSO with Aging Leader and Challengers
AOAArchimedes Optimization Algorithm
CACellular Automata
CADComputer-Aided Design
CCAAContinuous-state Cellular Automata Algorithm
CGACustomized Genetic Algorithm
CMOSComplementary Metal-Oxide-Semiconductor
CMRRCommon Mode Rejection Ratio
CPSO-DECellular Particle Swarm Optimization with Differential Evolution
CRPSOCrazy PSO
DEDifferential Evolution
ECAElementary Cellular Automaton
EDAElectronic Design Automation
FCOTAFolded Cascode Operational Transconductance Amplifier
GAGenetic Algorithm
GPGeometric Programming
GSA-PSOGravitational Search Algorithm with PSO
HHOHarris Hawks Optimization
HSHarmony Search
ICMRInput Common-Mode Range
LCPSOLeader and Challenger PSO
MmCCAAMajority-minority Cellular Automata Algorithm
MOLsMany Optimizing Liaisons
MOPSOMulti-Objective Particle Swarm Optimization
MOSMetal-Oxide-Semiconductor
MOSAMulti-Objective Simulated Annealing
NLPNonlinear Programming
NMOSN-Channel MOS
NSGANon-dominated Sorting Genetic Algorithm
op-ampOperational Amplifier
PMOSP-Channel MOS
POPolitical Optimizer
PSOParticle Swarm Optimization
PSRRPower Supply Rejection Ratio
PSRR+Positive Power Supply Rejection Ratio
PSRR−Negative Power Supply Rejection Ratio
RECAAReversible Elementary Cellular Automata
SASimulated Annealing
SOASeeker Optimization Algorithm
Ts-CDPTournament-selection CPSO-DE
VLSIVery Large Scale of Integration
WSAWeighted Superposition Attraction

References

  1. De, B.P.; Kar, R.; Mandal, D.; Ghoshal, S.P. An efficient design of CMOS comparator and folded cascode op-amp circuits using particle swarm optimization with an aging leader and challengers algorithm. Int. J. Mach. Learn. Cybern. 2016, 7, 325–344. [Google Scholar] [CrossRef]
  2. Kasapoglu, G.B.; Karagianni, E.A.; Fafalios, M.E.; Koukos, I.A. Coefficients Calculation in Pascal Approximation for Passive Filter Design. Computation 2018, 6, 18. [Google Scholar] [CrossRef]
  3. Dehbashian, M.; Maymandi-Nejad, M. An enhanced optimization kernel for analog IC design automation using the shrinking circles technique. Eng. Appl. Artif. Intell. 2017, 58, 62–78. [Google Scholar] [CrossRef]
  4. Cohen, M.W.; Aga, M.; Weinberg, T. Genetic algorithm software for analog circuit design. Procedia CIRP 2015, 36, 17–22. [Google Scholar] [CrossRef]
  5. Ozenli, D.; Alaybeyoglu, E.; Kuntman, H.; Cicekoglu, O. MOSFET-Only filter design automation based on polynomial regression with exemplary circuits. AEU-Int. J. Electron. Commun. 2018, 84, 342–354. [Google Scholar] [CrossRef]
  6. Durmuş, B. Optimal Components Selection for Active Filter Design with Average Differential Evolution Algorithm. AEU-Int. J. Electron. Commun. 2018, 94, 293–302. [Google Scholar] [CrossRef]
  7. Lourenço, N.; Martins, R.; Horta, N. Previous Works on Automatic Analog IC Sizing. In Automatic Analog IC Sizing and Optimization Constrained with PVT Corners and Layout Effects; Springer International Publishing: Cham, Switzerland, 2017; pp. 13–37. [Google Scholar] [CrossRef]
  8. Barri, D.; Jakovenko, J. Design and optimization of an Active OTA-C Filter Based on STOHE Algorithm. In Proceedings of the 2019 International Conference on Applied Electronics (AE), Pilsen, Czech Republic, 10–11 September 2019; pp. 1–6. [Google Scholar] [CrossRef]
  9. Brayton, R.K.; Hachtel, G.D.; Sangiovani-Vicentelli, A.L. A survey of optimization techniques for integrated-circuit design. In Proceedings of the Proceeding of the IEEE, Nashville, TN, USA, 29 June 1981–1 July 1981; Volume 69, pp. 1334–1362. [Google Scholar]
  10. Carley, L.R.; Rutenabar, R. How to automate analog IC designs. IEEE Spectr. 1988, 25, 26–30. [Google Scholar] [CrossRef]
  11. Fares, M.; Kaminska, B. FPAD: A Fuzzy Nonlinear Programming Aproach to Analog Circuit Design. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1995, 14, 785–793. [Google Scholar] [CrossRef]
  12. Torralba, A.; Chávez, J.; Franquelo, L.G. Circuit Performance Modeling by Means of Fuzzy Logic. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1996, 15, 1391–1398. [Google Scholar] [CrossRef]
  13. Oltean, G.; Miron, C.; Mocean, E. Multiobjective Optimization Method for analog circuits design based on fuzzy logic. In Proceedings of the 9th International Conference on Electronics, Circuits and Systems, Dubrovnik, Croatia, 5–18 September 2002; Volume 2, pp. 770–780. [Google Scholar]
  14. Torralba, A.; Chávez, J.; Franquelo, L.G. FASY: A fuzzy-Logic Based Tool for Analog Synthesis. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 1996, 15, 705–715. [Google Scholar] [CrossRef]
  15. Miranda-Romagnoli, P.; Hernandez-Romero, N.; Seck-Tuoh-Mora, J.C. A neuro fuzzy solution in the design of analog circuits. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 2011, 94, 434–439. [Google Scholar] [CrossRef]
  16. de Lima Moreto, R.A.; Thomaz, C.E.; Gimenez, S.P. A customized genetic algorithm with in-loop robustness analyses to boost the optimization process of analog CMOS ICs. Microelectron. J. 2019, 92, 104595. [Google Scholar] [CrossRef]
  17. Ivanova, M.; Stošović, M.A. Machine Learning and Rules Induction in Support of Analog Amplifier Design. Computation 2022, 10, 145. [Google Scholar] [CrossRef]
  18. Vural, R.A.; Yildirim, T. Component Value Selection for Analog Active Filter Using Particle Swarm Optimization. In Proceedings of the 2010 The 2nd International Conference on Computer and Automation Engineering (ICCAE), Singapore, 26–28 February 2010; pp. 25–28. [Google Scholar]
  19. Mostafa, S.S.; Horta, N.; Ravelo-García, A.G.; Morgado-Dias, F. Analog Active Filter Design using a Multi Objective Genetic Algorithm. AEU-Int. J. Electron. Commun. 2018, 93, 83–94. [Google Scholar] [CrossRef]
  20. Srisuwandee, T.; Sindhuchao, S.; Srisuwandee, T. The Differential Evolution Algorithm for Solving the Problem of Size Selection and Location of Infectious Waste Incinerator. Computation 2023, 11, 10. [Google Scholar] [CrossRef]
  21. Bodalal, R.; Shuaeib, F. Marine Predators Algorithm for Sizing Optimization of Truss Structures with Continuous Variables. Computation 2023, 11, 91. [Google Scholar] [CrossRef]
  22. Vural, R.A.; Yildirim, T. Analog circuit sizing via swarm intelligence. AEU-Int. J. Electron. Commun. 2012, 66, 732–740. [Google Scholar] [CrossRef]
  23. Mallick, S.; Kar, R.; Mandal, D.; Ghoshal, S. Optimal sizing of CMOS analog circuits using gravitational search algorithm with particle swarm optimization. Int. J. Mach. Learn. Cybern. 2017, 8, 309–331. [Google Scholar] [CrossRef]
  24. Ghosh, S.; De, B.P.; Kar, R.; Mandal, D.; Mal, A.K. Optimal design of complementary metal-oxide-semiconductor analogue circuits: An evolutionary approach. Comput. Electr. Eng. 2019, 80, 106485. [Google Scholar] [CrossRef]
  25. Bausser, M.; Talbi, E.G.; Nebro, A.; Alba, E. Metaheuristics for Multiobjective Combinatorial Optimization Problems: Review and Recent Issues; Research Report; INRIA: Paris, France, 2006. [Google Scholar]
  26. Chan, F.T.; Tiwari, M.K. Swarm Intelligence; IntechOpen: Rijeka, Croatia, 2007. [Google Scholar] [CrossRef]
  27. Liu, B.; Gielen, G.; Fernández, F.V. Automated Design of Analog and High-Frequency Circuits: A Computational Intelligence Approach; Chapter Basic Concepts and Background; Springer: Berlin/Heidelberg, Germany, 2014; pp. 1–17. [Google Scholar] [CrossRef]
  28. Barros, M.; Guilherme, J.; Horta, N. Analog circuits optimization based on evolutionary computation techniques. Integration 2010, 43, 136–155. [Google Scholar] [CrossRef]
  29. Kumar, P.P.; Duraiswamy, K. An Optimized Device Sizing of Analog Circuits using Particle Swarm Optimization. J. Comput. Sci. 2012, 8, 930–935. [Google Scholar]
  30. Gupta, H.; Ghosh, B. Analog Circuits Design Using Ant Colony Optimization. Int. J. Electron. Comput. Commun. Technol. 2012, 2, 9–21. [Google Scholar]
  31. Cheng, Y.; Chan, L.Y.; Chen, Y.L.; Liao, Y.C.; Liu, C.N. A bias-driven approach to improve the efficiency of automatic design optimization for CMOS OP-Amps. In Proceedings of the 2012 4th Asia Symposium on Quality Electronic Design (ASQED), Penang, Malaysia, 10–11 July 2012; pp. 59–63. [Google Scholar]
  32. Tlelo-Cuautle, E.; Sanabria-Borbon, A.C. Optimising operational amplifiers by evolutionary algorithms and gm/Id method. Int. J. Electron. 2016, 103, 1665–1684. [Google Scholar] [CrossRef]
  33. Lourenço, N.; Martins, R.; Horta, N. Automatic Analog IC Sizing and Optimization Constrained with PVT Corners and Layout Effects; Springer: Berlin/Heidelberg, Germany, 2017. [Google Scholar]
  34. Gielen, G.G.E.; Maricau, E.; De Wit, P. Designing reliable analog circuits in an unreliable world. In Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, San Jose, CA, USA, 9–12 September 2012; pp. 1–4. [Google Scholar] [CrossRef]
  35. Puzzi, S.; Carpinteri, A. A double-multiplicative dynamic penalty approach for constrained evolutionary optimization. Struct. Multidiscip. Optim. 2008, 35, 431–445. [Google Scholar] [CrossRef]
  36. Dehbashian, M.; Maymandi-Nejad, M. Co-AGSA: An efficient self-adaptive approach for constrained optimization of analog IC based on the shrinking circles technique. Integration 2017, 59, 218–232. [Google Scholar] [CrossRef]
  37. Shi, Y.; Liu, H.; Gao, L.; Zhang, G. Cellular particle swarm optimization. Inf. Sci. 2011, 181, 4460–4493. [Google Scholar] [CrossRef]
  38. Lagos-Eulogio, P.; Seck-Tuoh-Mora, J.C.; Hernandez-Romero, N.; Medina-Marin, J. A new design method for adaptive IIR system identification using hybrid CPSO and DE. Nonlinear Dyn. 2017, 88, 2371–2389. [Google Scholar] [CrossRef]
  39. Seck-Tuoh-Mora, J.C.; Hernandez-Romero, N.; Lagos-Eulogio, P.; Medina-Marin, J.; Peña, N.S.Z. A continuous-state cellular automata algorithm for global optimization. Expert Syst. Appl. 2021, 177, 114930. [Google Scholar] [CrossRef]
  40. Seck-Tuoh-Mora, J.C.; Hernandez-Romero, N.; Santander-Baños, F.; Volpi-Leon, V.; Medina-Marin, J.; Lagos-Eulogio, P. A majority-minority cellular automata algorithm for global optimization. Expert Syst. Appl. 2022, 203, 117379. [Google Scholar] [CrossRef]
  41. Suganthan, P.N.; Hansen, N.; Liang, J.J.; Deb, K.; Chen, Y.P.; Auger, A.; Tiwari, S. Problem definitions and evaluation criteria for the CEC 2005 special session on real-parameter optimization. KanGAL Rep. 2005, 2005005, 1–51. [Google Scholar]
  42. Deb, K. An efficient constraint handling method for genetic algorithms. Comput. Methods Appl. Mech. Eng. 2000, 186, 311–338. [Google Scholar] [CrossRef]
  43. Ma, H.; Simon, D. Blended biogeography-based optimization for constrained optimization. Eng. Appl. Artif. Intell. 2011, 24, 517–525. [Google Scholar] [CrossRef]
  44. Kruiskamp, W.; Leenaerts, D. DARWIN: CMOS opamp Synthesis by means of a Genetic Algorithm. In Proceedings of the 32nd ACM/IEEE Design Automation Conference, San Francisco, CA, USA, 12–16 June 1995; pp. 433–438. [Google Scholar]
  45. Vural, R.; Erkmen, B.; Bozkurt, U.; Yildirim, T. CMOS Differential amplifier area optimization with evolutionary algorithms. In Proceedings of the World Congress on Engineering and Computer Science, WCECS, San Francisco, CA, USA, 23–25 October 2013; Volume 2, pp. 666–670. [Google Scholar]
  46. Hershenson, M.D.M.; Boyd, S.P.; Lee, T.H. Optimal Design of a CMOS op-amp via Geometric Programming. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. 2001, 20, 1–21. [Google Scholar] [CrossRef]
  47. Kameyama, K. Particle Swarm Optimization—A Survey. Ieice Trans. Inf. Syst. 2009, E92D, 1354–1361. [Google Scholar] [CrossRef]
  48. Jordehi, A.R. Particle swarm optimisation for dynamic optimisation problems: A review. Neural Comput. Appl. 2014, 25, 1507–1516. [Google Scholar] [CrossRef]
  49. Zhang, Y.; Wang, S.; Ji, G. A Comprehensive Survey on Particle Swarm Optimization Algorithm and Its Applications. Math. Probl. Eng. 2015, 2015, 931256. [Google Scholar] [CrossRef]
  50. Wolfram, S. A New Kind of Science; Wolfram Media Inc.: Champaign, IL, USA, 2002. [Google Scholar]
  51. McIntosh, H.V. One Dimensional Cellular Automata; Luniver Press: Bristol, UK, 2009. [Google Scholar]
  52. Adamatzky, A.; Martínez, G.J. Designing Beauty: The Art of Cellular Automata; Springer: Berlin/Heidelberg, Germany, 2016; Volume 20. [Google Scholar]
  53. Gao, L.; Huang, J.; Li, X. An effective cellular particle swarm optimization for parameters optimization of a multi-pass milling process. Appl. Soft Comput. 2012, 12, 3490–3499. [Google Scholar] [CrossRef]
  54. Gholizadeh, S. Layout optimization of truss structures by hybridizing cellular automata and particle swarm optimization. Comput. Struct. 2013, 125, 86–99. [Google Scholar] [CrossRef]
  55. Li, X.; Gao, L.; Wen, X. Application of an efficient modified particle swarm optimization algorithm for process planning. Int. J. Adv. Manuf. Technol. 2013, 67, 1355–1369. [Google Scholar] [CrossRef]
  56. Hashim, F.A.; Hussain, K.; Houssein, E.H.; Mabrouk, M.S.; Al-Atabany, W. Archimedes optimization algorithm: A new metaheuristic algorithm for solving optimization problems. Appl. Intell. 2021, 51, 1531–1551. [Google Scholar] [CrossRef]
  57. Heidari, A.A.; Mirjalili, S.; Faris, H.; Aljarah, I.; Mafarja, M.; Chen, H. Harris hawks optimization: Algorithm and applications. Future Gener. Comput. Syst. 2019, 97, 849–872. [Google Scholar] [CrossRef]
  58. Baykasoğlu, A.; Akpinar, Ş. Weighted Superposition Attraction (WSA): A swarm intelligence algorithm for optimization problems–Part 1: Unconstrained optimization. Appl. Soft Comput. 2017, 56, 520–540. [Google Scholar] [CrossRef]
  59. Seck-Tuoh-Mora, J.C.; Lopez-Arias, O.; Hernandez-Romero, N.; Martínez, G.J.; Volpi-Leon, V. A New Algorithm Inspired on Reversible Elementary Cellular Automata for Global Optimization. IEEE Access 2022, 10, 112211–112229. [Google Scholar] [CrossRef]
  60. Askari, Q.; Younas, I.; Saeed, M. Political Optimizer: A novel socio-inspired meta-heuristic for global optimization. Knowl.-Based Syst. 2020, 195, 105709. [Google Scholar] [CrossRef]
  61. Osaba, E.; Villar-Rodriguez, E.; Del Ser, J.; Nebro, A.J.; Molina, D.; LaTorre, A.; Suganthan, P.N.; Coello, C.A.C.; Herrera, F. A tutorial on the design, experimentation and application of metaheuristic algorithms to real-world optimization problems. Swarm Evol. Comput. 2021, 64, 100888. [Google Scholar] [CrossRef]
  62. Valencia-Ponce, M.A.; Tlelo-Cuautle, E.; de la Fraga, L.G. On the Sizing of CMOS Operational Amplifiers by Applying Many-Objective Optimization Algorithms. Electronics 2021, 10, 3148. [Google Scholar] [CrossRef]
  63. Maji, K.B.; De, B.P.; Kar, R.; Mandal, D.; Ghoshal, S.P. CMOS Analog Amplifier Circuits Design Using Seeker Optimization Algorithm. IETE J. Res. 2022, 68, 1376–1385. [Google Scholar] [CrossRef]
  64. Paul, A.; Ramírez-Angulo, J.; Sánchez, A.D.; López-Martín, A.J.; Carvajal, R.G.; Li, F.X. An Enhanced Gain-Bandwidth Class-AB Miller op-amp with 23,800 MHz·pF/mW FOM, 11-16 Current Efficiency and Wide Range of Resistive and Capacitive Loads Driving Capability. IEEE Access 2021, 9, 69783–69797. [Google Scholar] [CrossRef]
Figure 1. Different ECA evolution rules and the dynamic behavior observed in each of them.
Figure 1. Different ECA evolution rules and the dynamic behavior observed in each of them.
Computation 11 00230 g001
Figure 2. Neighborhood for CPSO-outer and CPSO-DE.
Figure 2. Neighborhood for CPSO-outer and CPSO-DE.
Computation 11 00230 g002
Figure 3. Convergence curves of the different algorithms for CEC05 functions in 30 dimensions.
Figure 3. Convergence curves of the different algorithms for CEC05 functions in 30 dimensions.
Computation 11 00230 g003aComputation 11 00230 g003b
Figure 4. Flow chart of Ts-CPD as part of an EDA Tool.
Figure 4. Flow chart of Ts-CPD as part of an EDA Tool.
Computation 11 00230 g004
Figure 5. CMOS differential amplifier.
Figure 5. CMOS differential amplifier.
Computation 11 00230 g005
Figure 6. CMOS two-stage operational amplifier.
Figure 6. CMOS two-stage operational amplifier.
Computation 11 00230 g006
Figure 7. CMOS folded cascode operational transconductance amplifier.
Figure 7. CMOS folded cascode operational transconductance amplifier.
Computation 11 00230 g007
Figure 8. Performance of CMOS differential amplifier.
Figure 8. Performance of CMOS differential amplifier.
Computation 11 00230 g008
Figure 9. Ts-CPDtest for CMOS differential amplifier.
Figure 9. Ts-CPDtest for CMOS differential amplifier.
Computation 11 00230 g009
Figure 10. Performance of CMOS two-stage operational amplifier.
Figure 10. Performance of CMOS two-stage operational amplifier.
Computation 11 00230 g010aComputation 11 00230 g010b
Figure 11. Ts-CPD test for CMOS two-stage operational amplifier.
Figure 11. Ts-CPD test for CMOS two-stage operational amplifier.
Computation 11 00230 g011
Figure 12. Performance of CMOS folded cascode operational transconductance amplifier.
Figure 12. Performance of CMOS folded cascode operational transconductance amplifier.
Computation 11 00230 g012aComputation 11 00230 g012b
Figure 13. Ts-CPD test for CMOS folded cascode operational transconductance amplifier.
Figure 13. Ts-CPD test for CMOS folded cascode operational transconductance amplifier.
Computation 11 00230 g013
Table 1. Parameter settings of algorithms employed for comparison with Ts-CPD.
Table 1. Parameter settings of algorithms employed for comparison with Ts-CPD.
AlgorithmParameters
Ts-CPD Q = 12 , l = 6 , c 1 = 2 , c 2 = 2 , c 3 = 0.5 , C r = 0.9 , w m a x = 0.7 , w m i n = 0.15
AOA N = 60 , C 1 = 2 , C 2 = 6 , C 3 = 1 , C 4 = 0.2 , u = 0.9 , l = 0.1
HHO N = 60 , E 0 = 2 · r a n d 1 , J = 2 · ( 1 r a n d )
WSA N = 60 , τ = 0.8 , s l o = 0.035 , λ = 0.75 , φ = 0.001
CCAA Q = 12 , l = 6 , p h = 2 , p l = 1 , d M = 1 , d m = 3 , r m a x = 4 , r m i n = 1
MmCAAA Q = 12 , l = 6 , d M = 1.7 , r m a x = 6 , r m i n = 2 , e = 2
RECAA Q = 12 , l = 6 , p = 1.5 , r m a x = 6 , r m i n = 1
PO n = 8   ( N = 64 ) , λ = 1 , a r e a s = 7 , p a r t i e s = 7
Table 2. Performance of metaheuristic algorithms compared with Ts-CPD on 30-dimensional unimodal problems. The best values are in bold.
Table 2. Performance of metaheuristic algorithms compared with Ts-CPD on 30-dimensional unimodal problems. The best values are in bold.
BenchmarkTs-CPDAOAHHOWSACCAAMmCAARECAAPO
f 1 Avg 1.08 3.54 × 10 4 2.51 × 10 3 7.42 × 10 4 1.99 × 10 4 2.23 × 10 3 8.51 × 10 2 1.88 × 10 4
Std 2.93 5.35 × 10 3 1.22 × 10 3 5.97 × 10 3 7.33 × 10 3 6.25 × 10 2 4.39 × 10 2 7.28 × 10 3
f 2 Avg 1.60 × 10 2 4.11 × 10 4 2.40 × 10 4 1.11 × 10 5 2.44 × 10 4 4.23 × 10 4 1.70 × 10 4 1.92 × 10 4
Std 1.68 × 10 2 5.24 × 10 3 2.82 × 10 3 3.02 × 10 4 4.56 × 10 3 6.21 × 10 3 5.52 × 10 3 4.97 × 10 3
f 3 Avg 2.60 × 10 6 5.23 × 10 8 1.11 × 10 8 1.00 × 10 8 1.19 × 10 8 7.82 × 10 7 3.79 × 10 7 1.68 × 10 8
Std 1.40 × 10 6 1.74 × 10 8 3.41 × 10 7 0.00 5.26 × 10 7 2.80 × 10 7 1.33 × 10 7 1.05 × 10 8
f 4 Avg 9.37 × 10 2 4.83 × 10 4 5.46 × 10 4 1.11 × 10 5 3.49 × 10 4 5.26 × 10 4 2.69 × 10 4 2.89 × 10 4
Std 4.80 × 10 2 7.16 × 10 3 8.20 × 10 3 2.13 × 10 4 6.61 × 10 3 7.99 × 10 3 5.06 × 10 3 6.62 × 10 3
f 5 Avg 5.78 × 10 3 2.97 × 10 4 2.49 × 10 4 4.32 × 10 4 2.17 × 10 4 1.41 × 10 4 8.76 × 10 3 2.52 × 10 4
Std 1.57 × 10 3 3.48 × 10 3 3.38 × 10 3 4.19 × 10 3 3.87 × 10 3 2.32 × 10 3 1.24 × 10 3 2.73 × 10 3
Table 3. Performance of metaheuristic algorithms compared with Ts-CPD on 30-dimensional multimodal problems. The best values are in bold.
Table 3. Performance of metaheuristic algorithms compared with Ts-CPD on 30-dimensional multimodal problems. The best values are in bold.
BenchmarkTs-CPDAOAHHOWSACCAAMmCAARECAAPO
f 6 Avg 2.40 × 10 3 1.10 × 10 10 1.32 × 10 8 1.00 × 10 8 2.56 × 10 9 4.27 × 10 7 2.00 × 10 6 2.98 × 10 9
Std 4.84 × 10 3 3.16 × 10 9 1.20 × 10 8 0.00 1.93 × 10 9 1.67 × 10 7 1.19 × 10 6 1.98 × 10 9
f 7 Avg 4.72 1.32 × 10 3 3.15 × 10 2 3.61 × 10 3 5.85 × 10 1 1.40 × 10 2 5.57 × 10 1 4.81 × 10 1
Std 7.72 1.68 × 10 2 7.29 × 10 1 5.20 × 10 2 1.47 × 10 1 3.44 × 10 1 1.70 × 10 1 2.70 × 10 1
f 8 Avg 2.10 × 10 1 2.11 × 10 1 2.09 × 10 1 2.11 × 10 1 2.10 × 10 1 2.10 × 10 1 2.10 × 10 1 2.05 × 10 1
Std 5.48 × 10 2 8.26 × 10 2 8.58 × 10 2 5.72 × 10 2 8.31 × 10 2 6.76 × 10 2 6.42 × 10 2 7.97 × 10 2
f 9 Avg 1.02 × 10 2 3.04 × 10 2 3.14 × 10 2 4.01 × 10 2 2.24 × 10 2 2.31 × 10 2 1.63 × 10 2 2.50 × 10 2
Std 2.64 × 10 1 2.18 × 10 1 2.60 × 10 1 1.34 × 10 1 2.52 × 10 1 1.70 × 10 1 2.06 × 10 1 4.29 × 10 1
f 10 Avg 4.89 × 10 1 4.66 × 10 2 4.21 × 10 2 7.25 × 10 2 5.18 × 10 2 3.93 × 10 2 2.54 × 10 2 4.05 × 10 2
Std 1.45 × 10 1 4.68 × 10 1 7.25 × 10 1 5.27 × 10 1 6.17 × 10 1 5.68 × 10 1 2.38 × 10 1 4.22 × 10 1
f 11 Avg 2.16 × 10 1 4.17 × 10 1 4.07 × 10 1 4.37 × 10 1 2.97 × 10 1 3.55 × 10 1 3.27 × 10 1 3.04 × 10 1
Std 2.57 2.49 2.56 2.12 2.07 2.01 1.41 8.22
f 12 Avg 1.29 × 10 6 1.50 × 10 6 7.22 × 10 5 1.50 × 10 6 7.89 × 10 5 6.94 × 10 5 7.14 × 10 5 9.86 × 10 5
Std 1.44 × 10 5 2.46 × 10 5 2.00 × 10 5 1.66 × 10 5 1.39 × 10 5 1.67 × 10 5 1.25 × 10 5 7.79 × 10 3
f 13 Avg 6.45 2.82 × 10 1 3.31 × 10 1 1.27 × 10 2 1.46 × 10 1 2.20 × 10 1 1.76 × 10 1 1.15 × 10 1
Std 4.29 5.82 5.18 3.60 × 10 1 2.80 1.40 1.72 3.09
f 14 Avg 1.30 × 10 1 1.35 × 10 1 1.37 × 10 1 1.39 × 10 1 1.34 × 10 1 1.36 × 10 1 1.34 × 10 1 1.41 × 10 1
Std 3.26 × 10 1 3.42 × 10 1 2.04 × 10 1 2.21 × 10 1 2.90 × 10 1 1.35 × 10 1 2.26 × 10 1 1.43 × 10 1
f 15 Avg 5.69 × 10 2 9.80 × 10 2 7.41 × 10 2 1.24 × 10 3 6.92 × 10 2 5.69 × 10 2 5.13 × 10 2 1.03 × 10 3
Std 1.31 × 10 2 7.26 × 10 1 1.26 × 10 2 8.68 × 10 1 1.08 × 10 2 4.77 × 10 1 5.78 × 10 1 1.16 × 10 2
f 16 Avg 2.91 × 10 2 8.22 × 10 2 4.92 × 10 2 1.17 × 10 3 5.57 × 10 2 4.04 × 10 2 3.07 × 10 2 6.98 × 10 2
Std 1.79 × 10 2 9.05 × 10 1 8.22 × 10 1 1.41 × 10 2 1.05 × 10 2 5.04 × 10 1 4.74 × 10 1 1.03 × 10 2
f 17 Avg 2.90 × 10 2 8.79 × 10 2 5.91 × 10 2 1.18 × 10 3 6.23 × 10 2 4.83 × 10 2 3.52 × 10 2 7.86 × 10 2
Std 1.92 × 10 2 1.40 × 10 2 7.63 × 10 1 1.61 × 10 2 1.21 × 10 2 6.79 × 10 1 5.10 × 10 1 9.67 × 10 1
f 18 Avg 9.77 × 10 2 9.77 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2
Std 6.41 × 10 1 1.44 × 10 2 0.00 3.95 × 10 6 0.00 0.00 0.00 0.00
f 19 Avg 9.72 × 10 2 1.01 × 10 3 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2
Std 3.42 × 10 1 1.53 × 10 2 0.00 4.51 × 10 6 0.00 0.00 0.00 0.00
f 20 Avg 9.79 × 10 2 9.73 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2 9.00 × 10 2
Std 3.99 × 10 1 1.36 × 10 2 0.00 4.48 × 10 6 0.00 0.00 0.00 0.00
f 21 Avg 9.64 × 10 2 1.31 × 10 3 1.21 × 10 3 1.40 × 10 3 1.33 × 10 3 1.25 × 10 3 8.36 × 10 2 1.14 × 10 3
Std 3.34 × 10 2 1.57 × 10 1 1.09 × 10 2 1.18 × 10 1 3.48 × 10 1 7.07 × 10 1 1.32 × 10 2 1.95 × 10 1
f 22 Avg 9.21 × 10 2 1.42 × 10 3 1.28 × 10 3 1.82 × 10 3 1.24 × 10 3 1.13 × 10 3 1.05 × 10 3 1.09 × 10 3
Std 1.66 × 10 1 7.23 × 10 1 1.20 × 10 2 1.05 × 10 2 9.86 × 10 1 3.48 × 10 1 4.37 × 10 1 8.81 × 10 1
f 23 Avg 1.07 × 10 3 1.31 × 10 3 1.24 × 10 3 1.40 × 10 3 1.35 × 10 3 1.25 × 10 3 9.26 × 10 2 1.15 × 10 3
Std 2.02 × 10 2 1.69 × 10 1 8.21 × 10 1 1.39 × 10 1 2.77 × 10 1 4.86 × 10 1 1.66 × 10 2 2.41 × 10 1
f 24 Avg 2.26 × 10 2 1.37 × 10 3 1.34 × 10 3 1.46 × 10 3 1.39 × 10 3 1.33 × 10 3 9.69 × 10 2 1.07 × 10 3
Std 6.48 × 10 1 1.82 × 10 1 7.33 × 10 1 1.39 × 10 1 4.43 × 10 1 4.04 × 10 1 1.90 × 10 2 1.67 × 10 2
f 25 Avg 1.01 × 10 3 1.38 × 10 3 1.40 × 10 3 1.47 × 10 3 1.40 × 10 3 1.39 × 10 3 1.23 × 10 3 1.28 × 10 3
Std 9.32 2.66 × 10 1 2.99 × 10 1 8.30 3.26 × 10 1 1.90 × 10 1 4.54 × 10 1 1.26 × 10 2
Table 4. Wilcoxon rank-sum test and ranking of the compared algorithms on 30-dimensional problems.
Table 4. Wilcoxon rank-sum test and ranking of the compared algorithms on 30-dimensional problems.
Algorithm + / / AvgRank
Ts-CPD−/−/−1.881
AOA21/0/46.047
HHO16/3/64.526
WSA20/2/36.888
CCAA18/3/44.365
MmCAA15/3/73.643
RECAA13/3/92.122
PO17/3/53.924
Table 6. Design parameters for three algorithms (Case 1).
Table 6. Design parameters for three algorithms (Case 1).
Design ParametersTs-CPDPSO [22]GA [44]
W 1 / L 1 ( μ m/ μ m)7.6/3.529.4/3.5240/13.2
W 2 / L 2 ( μ m/ μ m)7.6/3.529.4/3.5240/13.2
W 3 / L 3 ( μ m/ μ m)4.6/3.511.3/3.57.3/7.7
W 4 / L 4 ( μ m/ μ m)4.6/3.511.3/3.57.3/7.7
W 5 / L 5 ( μ m/ μ m)5.9/1.44.2/1.44.6/2.4
W 6 / L 6 ( μ m/ μ m)11.2/1.44.2/1.42.4/2.4
I b i a s ( μ A)1411252
Table 7. Design criteria for CMOS two-stage operational amplifier and results obtained with several algorithms. The best values are in bold.
Table 7. Design criteria for CMOS two-stage operational amplifier and results obtained with several algorithms. The best values are in bold.
Design CriteriaSpecs.Ts-CPDGSA-PSO [23]PSO [22]GP [46]
Load capacitance (pF)≥77.17.2103
Slew rate (V/ μ s)≥1011.910.8811.1388
Power dissipation ( μ W)≤25001084712.823705000
Phase margin ( )>454666.266.5560
Unity gain bandwidth (MHz)≥36.25.7765.3286
DC gain (dB)>6064.775.4363.889.2
V I C (min) (V)≥−1.5−1.15−0.886−0.8-
V I C (max) (V)≤21.61.91.75-
CMRR (dB)>6074.075.4363.889.2
PSRR+ (dB)>7072.583.278.27116
PSRR− (dB)>7092.9110.493.5698.4
Total component area ( μ m 2 )<30045.6109.62658200
AFOM S S (MHz·pF)/( μ W·mm 2 ) 902532856
Table 8. Design parameters for the four algorithms (Case 2).
Table 8. Design parameters for the four algorithms (Case 2).
Design VariablesTs-CPDGSA-PSO [23]PSO [22]GP [46]
W 1 / L 1 ( μ m/ μ m)4.1/0.84/24.9/2232.8/0.8
W 2 / L 2 ( μ m/ μ m)4.1/0.84/24.9/2232.8/0.8
W 3 / L 3 ( μ m/ μ m)4.0/0.84/25.9/2143.6/0.8
W 4 / L 4 ( μ m/ μ m)4.0/0.84/25.9/2143.6/0.8
W 5 / L 5 ( μ m/ μ m)4.7/0.82.8/22.1/264.6/0.8
W 6 / L 6 ( μ m/ μ m)19.8/0.824/290.9/2588.8/0.8
W 7 / L 7 ( μ m/ μ m)11.5/0.89.2/216.3/2132.6/0.8
W 8 / L 8 ( μ m/ μ m)4.7/0.82.8/22.1/22/0.8
C C (pF)3.82.833.5
I b i a s ( μ A)42.72840.3910
Table 9. Designcriteria for CMOS folded cascode operational transconductance amplifier. The best values are in bold.
Table 9. Designcriteria for CMOS folded cascode operational transconductance amplifier. The best values are in bold.
Design CriteriaSpecs.Ts-CPDALC-PSO [1]
Load capacitance (pF)≥1010.010.028
Slew rate (V/ μ s)≥1013.819.37
Power dissipation (mW)≤53.32.504
Phase margin ( )>6083.963.1
Unity gain bandwidth (MHz)≥1017.811.11
DC gain (dB)>7474.176.97
V I C (min) (V)≥−1.5−0.69−1.466
V I C (max) (V)≤2.52.412.486
V o u t ( m i n ) (V)≥−2−2.0−1.936
V o u t ( m a x ) (V)≤21.991.996
CMRR (dB)>55111.887.58
PSRR+ (dB)>5582.984.21
PSRR− (dB)>5574.661.47
Total component area ( μ m 2 )<1315.9600.9835.2625
AFOM S S (MHz·pF)/( μ W·mm 2 ) 89,76453,269
Table 10. Design parameters for Case 3.
Table 10. Design parameters for Case 3.
Design VariablesTs-CPDALC-PSO [1]
W 1 / L 1 ( μ m/ μ m)48.43/1.2560.46/1.25
W 2 / L 2 ( μ m/ μ m)48.43/1.2560.46/1.25
W 3 / L 3 ( μ m/ μ m)78.66/1.2535.8/1.25
W 4 / L 4 ( μ m/ μ m)13.40/1.2540.1/1.25
W 5 / L 5 ( μ m/ μ m)13.40/1.2540.1/1.25
W 6 / L 6 ( μ m/ μ m)24.26/1.2545.94/1.25
W 7 / L 7 ( μ m/ μ m)24.26/1.2545.1/1.25
W 8 / L 8 ( μ m/ μ m)25.35/1.2559.63/1.25
W 9 / L 9 ( μ m/ μ m)25.35/1.2559.63/1.25
W 10 / L 10 ( μ m/ μ m)25.35/1.2559.63/1.25
W 11 / L 11 ( μ m/ μ m)25.35/1.2559.63/1.25
W 12 / L 12 ( μ m/ μ m)55.60/1.2514.85/1.25
W 13 / L 13 ( μ m/ μ m)24.26/1.2545.94/1.25
W 14 / L 14 ( μ m/ μ m)13.34/1.2540.1/1.25
W 15 / L 15 ( μ m/ μ m)35.23/1.25-
I b i a s ( μ A)119.3-
R 1 (k Ω ) 4.831.89
R 2 (k Ω ) 4.831.89
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Lagos-Eulogio, P.; Miranda-Romagnoli, P.; Seck-Tuoh-Mora, J.C.; Hernández-Romero, N. Improvement in Sizing Constrained Analog IC via Ts-CPD Algorithm. Computation 2023, 11, 230. https://doi.org/10.3390/computation11110230

AMA Style

Lagos-Eulogio P, Miranda-Romagnoli P, Seck-Tuoh-Mora JC, Hernández-Romero N. Improvement in Sizing Constrained Analog IC via Ts-CPD Algorithm. Computation. 2023; 11(11):230. https://doi.org/10.3390/computation11110230

Chicago/Turabian Style

Lagos-Eulogio, Pedro, Pedro Miranda-Romagnoli, Juan Carlos Seck-Tuoh-Mora, and Norberto Hernández-Romero. 2023. "Improvement in Sizing Constrained Analog IC via Ts-CPD Algorithm" Computation 11, no. 11: 230. https://doi.org/10.3390/computation11110230

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop