Next Article in Journal
Third-Order Optical Nonlinearities of 2D Materials at Telecommunications Wavelengths
Next Article in Special Issue
Editorial for the Special Issue on Recent Advances in Reactive Ion Etching and Applications of High-Aspect-Ratio Microfabrication
Previous Article in Journal
Modeling the Effects of Threading Dislocations on Current in AlGaN/GaN HEMT
Previous Article in Special Issue
The Method of Low-Temperature ICP Etching of InP/InGaAsP Heterostructures in Cl2-Based Plasma for Integrated Optics Applications
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Effects of Mask Material on Lateral Undercut of Silicon Dry Etching

1
Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083, China
2
Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
3
School of Electronic, Electrical and Communication Engineering, University of Chinese Academy of Sciences, Beijing 100049, China
4
State Key Laboratory of Transducer Technology, Chinese Academy of Sciences, Beijing 100083, China
*
Authors to whom correspondence should be addressed.
Micromachines 2023, 14(2), 306; https://doi.org/10.3390/mi14020306
Submission received: 27 December 2022 / Revised: 17 January 2023 / Accepted: 20 January 2023 / Published: 25 January 2023

Abstract

:
The silicon etching process is a core component of production in the semiconductor industry. Undercut is a nonideal effect in silicon dry etching. A reduced undercut is desired when preparing structures that demand a good sidewall morphology, while an enlarged undercut is conducive to the fabrication of microstructure tips. Undercut is related to not only the production parameters but also the mask materials. In this study, five mask materials—Cr, Al, ITO, SiNx, and SiO2—are chosen to compare the undercut effect caused by the isotropic etching process and the Bosch process. In the Bosch process, the SiNx mask causes the largest undercut, and the SiO2 mask causes the smallest undercut. In the isotropic process, the results are reversed. The effect of charges in the mask layer is found to produce this result, and the effect of electrons accumulating during the process is found to be negligible. The undercut effect can be enhanced or suppressed by selecting appropriate mask materials, which is helpful in the MEMS process. Finally, using an Al mask, a tapered silicon tip with a top diameter of 119.3 nm is fabricated using the isotropic etching process.

1. Introduction

Silicon etching is a vital process in the fabrication of many microstructures and microelectromechanical systems, such as gyros [1], accelerometers [2], resonators [3], field emission arrays [4], probes [5], etc. Compared with chemical-based wet etching methods, dry etching methods—mainly plasma etching—have the advantages of low damage and good shape controllability [6]. Thus, plasma etching has become the main technology for silicon etching. Silicon dry etching can be divided into two types: isotropic and anisotropic. Anisotropic etching, or deep reactive ion etching (DRIE), is the preferred process for high-aspect-ratio MEMS structures such as grooves, vias, or pillars. The DRIE process achieves good anisotropy through a combination of etching and passivation mechanisms. Fluorine radicals are the main component in the chemical process of the etching reaction. The decomposition of SF6 and other fluorine-based gases produces fluorine free radicals, and then the radicals absorb and remove the silicon. C4F8 and other fluorocarbon-based gases are mainly used in the passivation process by forming a passivation layer composed of Teflon on the silicon surface. Passivation layers such as Teflon can restrain free fluorine radicals from etching the sidewall to decrease the lateral etching rate and improve the anisotropy of the reaction. The isotropic process only includes the etching process in DRIE, allowing it to achieve a higher etching rate and severe lateral etching. It is generally used to etch low-depth trenches (less than 5 µm), release sacrificial layers [7], and form tips [8].
Since the 1980s, the mechanisms [9], components [10], and applications [11] of silicon plasma etching have received extensive research. Most studies focus on the control of the sidewall profile. Undercut is a critical nonideal effect that causes a higher lateral etching rate of the sidewalls beneath masks, which reduces the flatness and uniformity of the sidewall. In many applications, undercut leads to complications in subsequent processing and degraded device performance. In other special applications, such as the preparation of silicon tips, the undercut effect is needed to achieve a small size in the conical pointed structure. Methods to modulate the undercut effect in the silicon etching process have been proposed. The components are a major factor affecting the undercut effect. It has been proven that the ratio of SF6 to C4F8 gas in the mixture etching process [12] and the ratio of SF6 to O2 gas flows in the cryogenic process [13] can affect the lateral erosion of the undercut. In the Bosch process, the shortened process times of the etching step and the passivation step lead to smaller scallops and less undercut. In addition, the increased chamber pressure leads to a larger undercut [14]. Mask material also contributes to the undercut effect when using the same processes. For example, Al and Cr cause a decreased undercut compared to their oxide [15], and a SU8/SiO2 double-layer mask achieves less undercut than a SU8 single-layer mask [13]. The problem is that the mechanism of how mask materials affect undercut is not clear.
In this work, several commonly used mask materials in MEMS fabrication, i.e., Cr [16], Al [15,17], ITO [18], SiNx [19], and SiO2 [10,20], are chosen to compare the undercuts caused by the isotropic process and the Bosch process, i.e., the most popular DRIE processes. Undercut characterization is based on optical microscopy (OM) and scanning electron microscopy (SEM). By analyzing the experimental results, we find that the charged mask plays a leading role in the passivation step, and the undercut can be adjusted by selecting appropriate mask materials. Finally, by using an Al mask to maximize the undercut, a tapered silicon tip with a top diameter of 119.3 nm is fabricated using isotropic etching. This work contributes to our development of the techniques applied in the preparation of MEMS devices.

2. Experiments

Four-inch, p-type<100>, single-side-polished monocrystalline silicon wafers with a resistivity of 1–10 Ω⋅cm were prepared as substrates. Cr, ITO, and Al with a thickness of 50 nm were coated on the wafer using magnetic sputtering and then patterned with a lift-off process using a 2 µm thick L300 negative photoresist as a sacrificial layer. SiO2 and SiNx films were deposited on the wafer with plasma-enhanced chemical vapor deposition (PECVD) with a thickness of 1120 nm, and then a 2 µm thick Az6130 positive photoresist was spin-coated and patterned using lithography. An etching process using Sentech ICP-RIE SI 500 in a gas flow of CHF3 was performed to transfer the patterns from the photoresist to the silicide film. Once the etching was completed, the remaining photoresist was stripped. Parameters for the SiO2 and SiNx etching processes are shown in Table 1.
The mask pattern was a parallel square array, as shown in Figure 1. Both the side length and spacing of the square patterns were 20 µm. Measuring the side length of a square produces less error than for a circle, triangle, hexagon, and other geometric shapes. In addition, the periodic arrangement of the square patterns improves the uniformity of etching.
Figure 2 shows a schematic of the undercut effect assessment by Δ L , i.e., the lateral etching length under the mask. The side length of the patterned square mask, marked as L 1 , was measured before silicon etching. After silicon etching and mask removal, the side length of the square on the upper surface of the remaining silicon pillar was measured and marked as L 2 . Then, Δ L could be calculated as:
Δ L = L 1 L 2 2
A LEXT OLS4000 microscope was used to measure L 1 and L 2 . Masks were stripped using a wet etching process: Cr, ITO, and Al were stripped with boiled sulfuric acid/peroxide mi (SPM); SiNx was stripped with a hot H3PO4 solution; and SiO2 was stripped with buffered hydrofluoric acid (BHF).
The Bosch process is usually applied to DRIE silicon etching. As a time-multiplexed process alternating etching and passivation steps, the Bosch process achieves a higher aspect ratio than mixture processes and still maintains a high etching rate [21]. In this work, a two-step Bosch process was adopted. The process cycle began with the passivation step, and C4F8 gas flow was used to form a fluorocarbon polymer layer on all exposed surfaces. The etching step was subsequently carried out, and SF6 gas flow was used to remove Si isotropically using the reaction between Si and the produced fluorine free radicals. The wafer-chuck bias source of the ICP plasma etcher was usually opened up in the etching stage to increase the anisotropy of etching. The RF bias voltage produced by the wafer-chuck bias source provided downward vertical velocity for ions and free radicals and enhanced their physical sputtering to the bottom. In the passivation stage, the wafer-chuck bias source should be closed so that passivation layer films can be deposited uniformly. SF6 has no chemical reaction with the mask materials. C4F8 can etch SiO2 and SiNx via chemical reactions. In the etching process of masks, fluorocarbon polymers were formed and subsequently removed with physical bombardment. Because of the low RF bias, the etching rate of silicide masks is much lower than the etching rate of silicon. Therefore, all masks can achieve high etching selectivity.
The Bosch process was carried out using an Oxford PlasmaPro 100 ICP plasma etcher. To make it easier to measure the etching data and to show the effect of mask materials on undercut, the process with the maximum lateral etching rate was selected. Throughout the whole process, the chamber temperature was maintained at 180 °C, and the pressure was maintained at 20 mTorr. The passivation step was carried out at an ICP source power of 1500 W and a mixed gas flow of 200 sccm/10 sccm C4F8/SF6. The etching step was carried out at an ICP source power of 1800 W, LF bias power of 4 W, and mixed gas flow of 200 sccm/10 sccm SF6/C4F8. SF6 and C4F8 need to be used alternately, and a fast switching speed is required. The use of mixed gas instead of pure gas can improve the switching speed and ensure process stability and repeatability. Compared to the flow of the main reactant, the gas flow of 10 sccm was very small and had no significant effect on the experimental results. There were 40 cycles in the whole process, and each cycle was 7 s in duration, including a passivation step of 2 s and an etching step of 5 s. The detailed parameters of the Bosch process are shown in Table 2. After the mask removal process, the height of the remaining silicon pillars was measured using a Bruker step profiler, and the etching rate of the Bosch process was calculated.
The Oxford PlasmaPro 100 ICP plasma etcher was also used for the isotropic etching process, which was carried out at a chamber temperature of 180 °C, a pressure of 45 mTorr, an ICP source power of 1750 W, a pure SF6 gas flow of 300 sccm, and a duration of 20 s. A higher SF6 flow and pressure than in Bosch’s etching step were selected to increase the lateral etching rates. The detailed parameters for the isotropic etching process are shown in Table 3. After the mask removal process, the height of the remaining silicon pillars was measured using the same Bruker step profiler. Then, the etching rate of the isotropic process was calculated.
High lateral erosion of the isotropic etching process is conducive to the fabrication of microstructure tips. A parallel circular array was selected as the mask pattern. Both the diameter and spacing of each circle were 50 µm, as shown in Figure 3.
A 50 nm thick Al mask was coated on the substrate using magnetic sputtering and patterned with a lift-off process. Then, the silicon tip structure was etched with an isotropic etching process using the parameters in Table 3, except the etching time was increased from 20 s to 150 s. After etching, the Al mask was stripped with boiled SPM. Finally, the diameter of the tip was measured with an FEI Helios G4 CX double-beam scanning electron microscope.

3. Results

The parameter L 1 of different mask materials was measured with a LEXT OLS4000 microscope. Although all kinds of materials were patterned with the same lithography mask, the actual pattern sizes are slightly different because of different pattern processes. In the lift-off process of Cr, ITO, and Al, the negative photoresist should form a toppling structure with a narrow top and a wide bottom after exposure and development, so the materials out of the pattern area can be completely stripped. The process creates larger-sized material mask patterns than the lithographic mask patterns. In contrast, silicide masks are formed by a positive photography-etching process. The pattern process of positive photoresist creates smaller-sized photoresist patterns than the graphic size of the lithographic mask. The measurement results were in agreement with this theoretical expectation. The square sizes at different positions in the pattern array had good consistency.
The average etching depth of the Bosch process is approximately 30 µm, and the average vertical etching rate is 0.75 µm/cycle. Figure 4a shows an SEM image of a silicon pillar sidewall etched with the SiNx mask. After the mask was removed, the value L2-Bosch was measured to calculate ∆LBosch and the relative deviation. Figure 4b shows an OM image of the sample using the SiNx mask after the Bosch process.
The experimental data from the Bosch process are shown in Table 4. In the Bosch process, the mask material shows a more considerable impact on the undercut effect. The SiNx and ITO masks cause the largest undercut of 0.65 µm, while the SiO2 mask has the smallest ∆LBosch of 0.47 µm.
The average etching depth of the isotropic process is 4.6 µm, and the average vertical etching rate is 0.23 µm/s. The SiNx mask layer is etched 0.34 µm and the SiO2 mask layer is etched 0.07 um, and the etching rates are 17 nm/s and 3.5 nm/s, respectively. Metal mask layers and the ITO mask layer are etched only 1—2 nm. After mask removal, the value L 2 of the isotropic process is measured and marked as L2-isotropic, and then the value ∆Lisotropic and relative deviation of different mask materials are calculated. Figure 5 shows the optical microscope (OM) image of the sample using the SiO2 mask.
The experimental data from the isotropic etching process are shown in Table 5, revealing that the mask material did not seem to impact the undercut effect. The average undercut of isotropic etching is approximately 3 µm, and the lateral etching rate is approximately 0.15 µm/s. ITO and SiNx cause slightly less undercut than other mask materials. This indicates that the effect of the mask material on the undercut is opposite in the isotropic process and the Bosch process. In the Bosch process, the SiO2 mask causes the smallest undercut, while the SiNx and ITO masks cause the largest undercut.
Figure 6 shows an SEM image of the silicon tip fabricated by the isotropic process in this work. The diameter of the tip structure is 119.3 nm. The process can be used for the fabrication of biomedical microneedles, AFM, and other structures because the feature size of silicon tips can be modulated by mask patterns and parameters.

4. Discussion

To explain the mechanism of how mask materials affect undercut, the reaction process of silicon etching should be introduced. Free fluorine radicals produced by SF6 gas play a major role in the etching mechanism. The radicals are adsorbed at the surface, and silicon is etched isotropically by the following chemical reaction [22]:
S i + 4 F = S i F 4
The plasma produced by SF6 gas also contains heavy ions such as SF5+. These positive ions are accelerated vertically downward by the RF bias electric field, which causes physical sputtering on the bottom and increases the anisotropy of the etching. In the passivation mechanism, CFx radicals are produced by C4F8 gas. Then, these ions are adsorbed at the surface, depositing a uniformly fluorocarbon passivation layer to prevent etching. Due to the anisotropy of the etching, the etching rate of the passivation layer on the bottom is higher than that on the sidewall.
Under ideal conditions, the fluorine radicals in the etching process can completely remove the bottom passivation layer while the sidewall passivation layer remains. In the actual process, an imbalance between etching and passivation causes undesirable effects. When undercut occurs, lateral erosion is larger at the top sidewall. This indicates that some mechanism, such as enhanced etching or weakened passivation, causes the etching rate to exceed the passivation layer deposition rate in this area.
The charged mask can affect lateral erosion by deflecting SF5+ ions. The positive charges in the mask film repel heavy SF5+ ions and reduce the etching of the passivation layer at the top sidewall. In contrast, the negative charges in the mask film improve the etching rate. Although the plasma still contains F ions, the negative ion is lighter and has less effect on physical sputtering to the passivation layer.
It has been proven that the deposition of a carbon–fluorine passivation layer is an ion-enhanced process [23]. CFx+ ions play a major role in the deposition of the passivation layer. The negative charge in the mask film can attract positive ions, which increases the deposition rate of the passivation layer at the top sidewall, while the positive charge reduces the thickness of the passivation layer. Compared with the etching mechanism, the deposition of the passivation layer is more greatly affected by ions. Therefore, undercut is mainly controlled by the passivation step during the DRIE etching process.
The proposed explanation can explain the results of the experiment well. PECVD SiNx usually contains a positive charge of approximately 1012 cm−2 [24], while PECVD SiO2 usually contains a negative charge of approximately 1012 cm−2 [25]. Some electrons will accumulate on the upper surface of the mask layer during the process [15]. Although the mask is negatively charged by electrons, the number of electrons is very small. Therefore, the effect of electron accumulation in the reaction is negligible. In the isotropic etching process, the physical bombardment of heavy SF5+ ions mainly affects the lateral erosion of the top sidewall, so the undercut of the SiO2 mask is slightly worse than that of the SiNx mask, as shown in Figure 7a,b. In the Bosch process, the passivation step plays a major role, and SiNx causes a more severe undercut effect than SiO2, as shown in Figure 7c–f. As a special conductive oxide, the influence mechanism of ITO on undercut is more complex. Figure 8 shows the correlation of undercut with mask charging.

5. Conclusions

In this work, the undercut effect caused by mask materials in silicon dry etching was studied. Cr, Al, ITO, SiNx, and SiO2 were chosen to compare the undercutting caused by the isotropic etching process and Bosch process. An optical microscope was used to characterize the lateral erosion at the top sidewall. The experimental results show that the mask material has a slight impact on the undercut effect in the isotropic etching process but shows a more considerable impact in the Bosch process. Under the Bosch process, the SiNx mask has the largest undercut, followed by the metal mask, and the SiO2 mask has the least undercut. In the case of isotropic etching, the results are reversed. The effect of charges in the mask layer is responsible for this result, while the effect of electrons accumulating during the process is negligible. In different processes, the undercut effect can be enhanced or suppressed by selecting appropriate mask materials. When using an Al mask to achieve the largest undercut, a tapered silicon tip with a top diameter of 119.3 nm was fabricated with isotropic etching. The process can be used for the fabrication of biomedical microneedles, AFM, and other structures. This work contributes to our development of the techniques applied in the fabrication of MEMS devices.

Author Contributions

Conceptualization, Y.Z. (Yongkang Zhang); methodology, Y.Z. (Yongkang Zhang), Z.H. and C.S.; validation, Z.H., X.L. and J.L.; resources, C.S., G.H., Y.Z. (Yongmei Zhao), J.N. and F.Y.; writing—original draft preparation, Y.Z. (Yongkang Zhang) and Z.H.; writing—review and editing, J.N. and F.Y.; supervision, J.N. and F.Y. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the National Natural Science Foundation of China (nos. 61974136 and 52075519).

Data Availability Statement

Not applicable.

Conflicts of Interest

The funders had no role in the design of the study; in the collection, analyses, or interpretation of data; in the writing of the manuscript; or in the decision to publish the results.

References

  1. Jia, L.; Han, G.; Wei, Z.; Si, C.; Ning, J.; Yang, F.; Han, W. A Novel Packaged Ultra-High Q Silicon MEMS Butterfly Vibratory Gyroscope. Micromachines 2022, 13, 1967. [Google Scholar] [CrossRef]
  2. Fu, Y.; Han, G.; Gu, J.; Zhao, Y.; Ning, J.; Wei, Z.; Yang, F.; Si, C. A High-Performance MEMS Accelerometer with an Improved TGV Process of Low Cost. Micromachines 2022, 13, 1071. [Google Scholar] [CrossRef] [PubMed]
  3. Tong, X.; Liu, J.; Xue, C. High-Qmicro-ring resonators and grating couplers for silicon-on-insulator integrated photonic circuits. J. Semicond. 2013, 34, 085006. [Google Scholar] [CrossRef]
  4. Carvalho, E.J.; Alves, M.A.R.; Braga, E.S.; Cescato, L. Fabrication and electrical performance of high-density arrays of nanometric silicon tips. Microelectron. Eng. 2010, 87, 2544–2548. [Google Scholar] [CrossRef]
  5. Bhandari, R.; Negi, S.; Rieth, L.; Solzbacher, F. A Wafer-Scale Etching Technique for High Aspect Ratio Implantable MEMS Structures. Sens. Actuators A Phys. 2010, 162, 130–136. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  6. Michael, S.; Xiankai, S.; Henry, M.D.; Amnon, Y.; Axel, S. Advanced Plasma Processing: Etching, Deposition, and Wafer Bonding Techniques for Semiconductor Applications. In Semiconductor Technologies; Jan, G., Ed.; IntechOpen: Rijeka, Croatia, 2010; p. Ch. 5. [Google Scholar]
  7. Okamoto, Y.; Lebrasseur, E.; Mori, I.; Marty, F.; Mita, Y. Test Structures for End-Point Visualization of All-Plasma Dry Release of Deep-RIE MEMS Devices and Application to Release Process Modal Analysis. IEEE Trans. Semicond. Manuf. 2017, 30, 201–208. [Google Scholar] [CrossRef]
  8. Wang, Y.; van der Weide, D.W. Microfabrication and application of high-aspect-ratio silicon tips. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2005, 23, 1582–1584. [Google Scholar] [CrossRef]
  9. Zhang, S.-Q.; Dai, Z.-L.; Song, Y.-H.; Wang, Y.-N. Effect of reactant transport on the trench profile evolution for silicon etching in chlorine plasmas. Vacuum 2014, 99, 180–188. [Google Scholar] [CrossRef]
  10. Lin, Y.; Yuan, R.; Zhang, X.; Chen, Z.; Zhang, H.; Su, Z.; Guo, S.; Wang, X.; Wang, C. Deep Dry Etching of Silicon with Scallop Size Uniformly Larger than 300 nm. Silicon 2018, 11, 651–658. [Google Scholar] [CrossRef]
  11. Bolton, C.J.W.; Howells, O.; Blayney, G.J.; Eng, P.F.; Birchall, J.C.; Gualeni, B.; Roberts, K.; Ashraf, H.; Guy, O.J. Hollow silicon microneedle fabrication using advanced plasma etch technologies for applications in transdermal drug delivery. Lab Chip 2020, 20, 2788–2795. [Google Scholar] [CrossRef] [PubMed]
  12. He, J.; Richter, K.; Bartha, J.W.; Howitz, S. Fabrication of silicon template with smooth tapered sidewall for nanoimprint lithography. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2011, 29, 06FC16. [Google Scholar] [CrossRef]
  13. Isakovic, A.F.; Evans-Lutterodt, K.; Elliott, D.; Stein, A.; Warren, J.B. Cyclic, cryogenic, highly anisotropic plasma etching of silicon using SF6/O2. J. Vac. Sci. Technol. A Vac. Surf. Films 2008, 26, 1182–1187. [Google Scholar] [CrossRef]
  14. Veltkamp, H.W.; Janssens, Y.L.; de Boer, M.J.; Zhao, Y.; Wiegerink, R.J.; Tas, N.R.; Lotters, J.C. Method for Keyhole-Free High-Aspect-Ratio Trench Refill by LPCVD. Micromachines 2022, 13, 1908. [Google Scholar] [CrossRef] [PubMed]
  15. Dey, R.K.; Ekinci, H.; Cui, B. Effects of mask material conductivity on lateral undercut etching in silicon nano-pillar fabrication. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2020, 38, 012207. [Google Scholar] [CrossRef]
  16. Ayari-Kanoun, A.; Aydinoglu, F.; Cui, B.; Saffih, F. Silicon nanostructures with very large negatively tapered profile by inductively coupled plasma-RIE. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2016, 34, 06KD01. [Google Scholar] [CrossRef] [Green Version]
  17. Du, L.; Xu, S.; Wang, Y.; Lü, L.; Zhang, J.; Hao, Y. Investigation of etching method for fabricating deep through holes on ultra-high resistivity silicon. J. Semicond. 2017, 38, 056002. [Google Scholar] [CrossRef]
  18. Yang, F.; Han, G.; Yang, J.; Zhang, M.; Ning, J.; Yang, F.; Si, C. Deep Silicon Etching of Bonded Wafer Based on ITO Mask. Micronanoelectron. Technol. 2020, 57, 905–910. [Google Scholar] [CrossRef]
  19. Hwang, S.; Lee, S.; Ko, J.; Jang, M. Highly Ordered Microscale-Pyramidal-Structure-Arrayed Silicon Membranes for Filter Applications. J. Nanosci. Nanotechnol. 2018, 18, 6270–6273. [Google Scholar] [CrossRef]
  20. Baklykov, D.A.; Andronic, M.; Sorokina, O.S.; Avdeev, S.S.; Buzaverov, K.A.; Ryzhikov, I.A.; Rodionov, I.A. Self-Controlled Cleaving Method for Silicon DRIE Process Cross-Section Characterization. Micromachines 2021, 12, 534. [Google Scholar] [CrossRef]
  21. Johnson, C.W.; Johnson, D.; Martinez, L.; Plumhoff, J. Systematic Approach to Time Division Multiplexed Si Etch Process Development. ECS Trans. 2013, 50, 11. [Google Scholar] [CrossRef]
  22. Kenney, M.G. The Development of Metasurfaces for Manipulating Electromagnetic Waves. Ph.D. Thesis, University of Birmingham, Birmingham, UK, 2015. [Google Scholar]
  23. Saraf, I.R.; Goeckner, M.J.; Goodlin, B.E.; Kirmse, K.H.R.; Nelson, C.T.; Overzet, L.J. Kinetics of the deposition step in time multiplexed deep silicon etches. J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2013, 31, 011208. [Google Scholar] [CrossRef]
  24. Weber, K.J.; Jin, H. Improved silicon surface passivation achieved by negatively charged silicon nitride films. Appl. Phys. Lett. 2009, 94, 063509. [Google Scholar] [CrossRef] [Green Version]
  25. Boogaard, A.; Kovalgin, A.Y.; Wolters, R. Negative Charge in Plasma Oxidized SiO2 Layers. ECS Trans. 2011, 35, 259–272. [Google Scholar] [CrossRef]
Figure 1. Mask patterns of the 20 µm square array.
Figure 1. Mask patterns of the 20 µm square array.
Micromachines 14 00306 g001
Figure 2. Assessment of undercut. (a) L1 is measured before etching; (b) side view of the silicon pillar with the remaining mask; (c) L2 is measured after the mask is removed. Then, ΔL is calculated.
Figure 2. Assessment of undercut. (a) L1 is measured before etching; (b) side view of the silicon pillar with the remaining mask; (c) L2 is measured after the mask is removed. Then, ΔL is calculated.
Micromachines 14 00306 g002
Figure 3. Mask patterns of 50 µm parallel circle array for silicon tip fabrication.
Figure 3. Mask patterns of 50 µm parallel circle array for silicon tip fabrication.
Micromachines 14 00306 g003
Figure 4. Sample etched with the SiNx mask. (a) Sidewall morphology of a silicon pillar with the mask remaining. (b) OM image of the sample after etching with the mask removed.
Figure 4. Sample etched with the SiNx mask. (a) Sidewall morphology of a silicon pillar with the mask remaining. (b) OM image of the sample after etching with the mask removed.
Micromachines 14 00306 g004
Figure 5. OM images of the sample using the SiO2 mask. (a) Before etching. (b) After etching with the remaining mask. (c) After etching with the mask removed.
Figure 5. OM images of the sample using the SiO2 mask. (a) Before etching. (b) After etching with the remaining mask. (c) After etching with the mask removed.
Micromachines 14 00306 g005
Figure 6. SEM image of a fabricated silicon tip. (a) Entire view of the tip. (b) Enlarged view of the top position.
Figure 6. SEM image of a fabricated silicon tip. (a) Entire view of the tip. (b) Enlarged view of the top position.
Micromachines 14 00306 g006
Figure 7. Schematic diagram of a charged mask acting on ions. (a) SF5+ ions are repelled by positive charges. This lowers lateral etching rates and reduces undercut. (b) SF5+ ions are attracted by negative charges. This raises lateral etching rates and an enlarges undercut. (c) CFx+ ions are repelled by positive charges. Then, a thinner passivation layer is formed at the top sidewall. (d) CFx+ ions are attracted by negative charges. Then, a thicker passivation layer is formed at the top sidewall. (e) The thinner passivation layer in (c) causes an enlarged undercut after the etching process. (f) The thicker passivation layer in (d) causes a reduced undercut after the etching process.
Figure 7. Schematic diagram of a charged mask acting on ions. (a) SF5+ ions are repelled by positive charges. This lowers lateral etching rates and reduces undercut. (b) SF5+ ions are attracted by negative charges. This raises lateral etching rates and an enlarges undercut. (c) CFx+ ions are repelled by positive charges. Then, a thinner passivation layer is formed at the top sidewall. (d) CFx+ ions are attracted by negative charges. Then, a thicker passivation layer is formed at the top sidewall. (e) The thinner passivation layer in (c) causes an enlarged undercut after the etching process. (f) The thicker passivation layer in (d) causes a reduced undercut after the etching process.
Micromachines 14 00306 g007
Figure 8. The correlation of undercut with mask charging. (a) The Bosch process. (b) The isotropic process.
Figure 8. The correlation of undercut with mask charging. (a) The Bosch process. (b) The isotropic process.
Micromachines 14 00306 g008
Table 1. Parameters for the silicide mask etching process.
Table 1. Parameters for the silicide mask etching process.
Mask MaterialICP PowerRF PowerCHF3 FlowPressureTemperatureTime
Unit[W][W][sccm][mTorr][°C][s]
SiO240050607.520290
SiNx500100607.520300
Table 2. Parameters for the Bosch process in this work.
Table 2. Parameters for the Bosch process in this work.
SettingUnitPassivationEtching
Temperature[°C]180180
Pressure[mTorr]2020
SF6 tlow[sccm]10200
C4F8 tlow[sccm]20010
ICP power[W]15001800
LF power[W]04
Time[s]25
Total time[s]280
Table 3. Parameters for the isotropic etching process in this work.
Table 3. Parameters for the isotropic etching process in this work.
SettingTemperaturePressureSF6 FlowICP PowerLF PowerTime
Unit[°C][mTorr][sccm][W][W][s]
Parameter180453001750020
Table 4. Experimental data from the Bosch process. Unit: µm.
Table 4. Experimental data from the Bosch process. Unit: µm.
Mask MaterialsL1L2-BoschΔLBoschRelative Deviation
Cr20.2319.230.500−11.2%
ITO21.9019.600.65015.5%
Al20.3519.440.545−3.20%
SiO219.2118.270.470−16.5%
SiNx18.9017.600.65015.5%
Average20.1218.830.5632.1% 1
1 The relative range of ∆LBosch.
Table 5. Experimental data from the isotropic etching process. Unit: µm.
Table 5. Experimental data from the isotropic etching process. Unit: µm.
Mask MaterialsL1L2-IsotropicΔLIsotropicRelative Deviation
Cr20.5314.263.1351.79%
ITO20.7714.623.075−0.16%
Al20.6114.263.1753.08%
SiO219.1812.963.1100.97%
SiNx18.6712.862.905−5.68%
Average19.9513.793.0808.77% 1
1 The relative range of ∆Lisotropic.
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Zhang, Y.; Hou, Z.; Si, C.; Han, G.; Zhao, Y.; Lu, X.; Liu, J.; Ning, J.; Yang, F. Effects of Mask Material on Lateral Undercut of Silicon Dry Etching. Micromachines 2023, 14, 306. https://doi.org/10.3390/mi14020306

AMA Style

Zhang Y, Hou Z, Si C, Han G, Zhao Y, Lu X, Liu J, Ning J, Yang F. Effects of Mask Material on Lateral Undercut of Silicon Dry Etching. Micromachines. 2023; 14(2):306. https://doi.org/10.3390/mi14020306

Chicago/Turabian Style

Zhang, Yongkang, Zhongxuan Hou, Chaowei Si, Guowei Han, Yongmei Zhao, Xiaorui Lu, Jiahui Liu, Jin Ning, and Fuhua Yang. 2023. "Effects of Mask Material on Lateral Undercut of Silicon Dry Etching" Micromachines 14, no. 2: 306. https://doi.org/10.3390/mi14020306

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop