Next Article in Journal
Chiral Separation of rac-Propylene Oxide on Penicillamine Coated Gold NPs
Next Article in Special Issue
Silicon Nanowires for Gas Sensing: A Review
Previous Article in Journal
Electrospun Nanomaterials: Applications in Food, Environmental Remediation, and Bioengineering
Previous Article in Special Issue
State of the Art and Future Perspectives in Advanced CMOS Technology
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Strained Si0.2Ge0.8/Ge multilayer Stacks Epitaxially Grown on a Low-/High-Temperature Ge Buffer Layer and Selective Wet-Etching of Germanium

1
Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029, China
2
Microelectronics Institute, University of Chinese Academy of Sciences, Beijing 100049, China
3
Research and Development Center of Optoelectronic Hybrid IC, Guangdong Greater Bay Area Institute of Integrated Circuit and System, Guangzhou 510535, Guangdong, China
4
School of Microelectronics, University of Science and Technology of China, Hefei 230026, Anhui, China
*
Authors to whom correspondence should be addressed.
Nanomaterials 2020, 10(9), 1715; https://doi.org/10.3390/nano10091715
Submission received: 6 August 2020 / Revised: 23 August 2020 / Accepted: 27 August 2020 / Published: 29 August 2020
(This article belongs to the Special Issue Nanomaterials Based on IV-Group Semiconductors)

Abstract

:
With the development of new designs and materials for nano-scale transistors, vertical Gate-All-Around Field Effect Transistors (vGAAFETs) with germanium as channel materials have emerged as excellent choices. The driving forces for this choice are the full control of the short channel effect and the high carrier mobility in the channel region. In this work, a novel process to form the structure for a VGAA transistor with a Ge channel is presented. The structure consists of multilayers of Si0.2Ge0.8/Ge grown on a Ge buffer layer grown by the reduced pressure chemical vapor deposition technique. The Ge buffer layer growth consists of low-temperature growth at 400 °C and high-temperature growth at 650 °C. The impact of the epitaxial quality of the Ge buffer on the defect density in the Si0.2Ge0.8/Ge stack has been studied. In this part, different thicknesses (0.6, 1.2 and 2.0 µm) of the Ge buffer on the quality of the Si0.2Ge0.8/Ge stack structure have been investigated. The thicker Ge buffer layer can improve surface roughness. A high-quality and atomically smooth surface with RMS 0.73 nm of the Si0.2Ge0.8/Ge stack structure can be successfully realized on the 1.2 µm Ge buffer layer. After the epitaxy step, the multilayer is vertically dry-etched to form a fin where the Ge channel is selectively released to SiGe by using wet-etching in HNO3 and H2O2 solution at room temperature. It has been found that the solution concentration has a great effect on the etch rate. The relative etching depth of Ge is linearly dependent on the etching time in H2O2 solution. The results of this study emphasize the selective etching of germanium and provide the experimental basis for the release of germanium channels in the future.

1. Introduction

In recent years, with the continuous scaling-down of CMOS technology nodes, high-mobility channel materials (SiGe, Ge and III–V material such as InGaAs) and novel device designs (horizontally/vertically Gate-All-Around (GAA) stacked nanowires) have been under investigation [1,2,3,4,5,6,7,8,9,10,11,12,13]. The high mobility of n-GaN and the current possibility of achieving an enhancement mode in non-polar GaN have also been extensively researched with gallium nitride Fin Field-Effect Transistors (FinFETs) [14,15]. For the channel material, Ge can be grown on Si and is a promising material with the advantage of having a higher carrier mobility compared to Si, InAs and GaAs [16]. The growth of a high-quality Ge layer on Si is one of the main challenges in achieving a vertical GAA structure. So far, many studies have proposed Si/SiGe multilayer structures to create an SiGe channel layer [17,18,19,20,21]. In these studies, the solutions of TMAH, mixture solution HF:H2O2:CH3COOH and other alkaline solutions have often been used to obtain a SiGe channel [22,23,24,25]. However, in the traditional FinFET structure, an important problem is the dry-etching damage to the sidewall caused by plasma sputtering in the fin formation process [26,27,28,29]. Therefore, the wet-etch method is advantageous for the formation of advanced GAA stacked nanowires.
Use of SiGe/Ge multilayers with Ge as a channel layer and proper selective etching with enough accuracy to reach beyond 3 nm channel length have not been properly studied yet. Since vertical GAA transistors are considered one of the potential devices for the next era of CMOS technology, it is crucial to design a gate and control channel size. Therefore, it is necessary to study new structures (e.g., SiGe/Ge multilayers), and the selective etching of a channel Ge layer is an important issue to be investigated. In this work, we have studied the complete process of the formation of a 20 nm Ge channel layer in Vertical Gate-All-Around (VGAA) NWs, including the epitaxy and etching processes, with a focus on the selective etching of Ge relative to SiGe in Si0.2Ge0.8/Ge multilayers with HNO3 and H2O2 solution.

2. Materials and Methods

In this study, 8 inch p-type Si (100) wafers with resistivity of 8–12 ohm·cm were processed for the test structures. The SiGe/Ge thin films were grown in a reduced pressure chemical vapor deposition (RPCVD) reactor using dichlorosilane (SiH2Cl2) and germane (10% GeH4 in H2) as precursors for Si and Ge, respectively. The thicknesses of the SiGe layers were kept below the critical thickness published for SiGe/Ge systems [30,31].
A two-step low-/high-temperature (LT-HT) growth was performed to form the Ge buffer layer. At first, a Ge seed layer was deposited at 400 °C, and a high-quality Ge film was subsequently grown at 650 °C. A post-growth in situ annealing was applied at 820 °C in ambient H2 to reduce the threading defect density (TDD) below 106 cm−2. Finally, the Si0.2Ge0.8/Ge multilayer stacks were grown at 500 °C with different thicknesses. The low growth temperature was chosen to avoid intermixing of SiGe layers with Ge.
The fins were formed by depositing an oxide hard mask (HM), and the formed pattern was dry-etched by lithography. At this stage, the samples were cut into small slices to facilitate etching experiments. The wet selective etching of Ge in the Si0.2Ge0.8/Ge multilayers was carried out at room temperature in mixed solutions of hydrogen peroxide (H2O2), nitric acid (HNO3) and 20%HF/30%H2O2/99.8%CH3COOH at a volume ratio of 1:2:3. During the experiment, slices reduced die error. Slicing makes the most of the wafer and minimizes the inter-chip operating error. Before the etching experiment, all samples were immersed in BOE (49wt% HF and 40wt% NH4F with volume ratio of 1:7) for 5 min to remove the natural oxide. As high HF concentrations can damage Ge or SiGe, the BOE solution was mixed with deionized water at a ratio of 1:100, and the samples were cleaned in deionized water for 2 min after etching. Figure 1a–d displays the main process flow and the manufacturing steps of fins and the Ge channel layer in this study.
Cross-section morphology and etching profile of the experimental samples were analyzed by scanning electron microscopy (SEM). The samples were also characterized by transmission electron microscopy (TEM) to determine crystalline quality, layer profile and to evaluate the results of dry and wet-etching. Furthermore, energy-dispersive spectroscopy (EDS) was employed to determine the element materials of etched layers. Atomic force microscopy (AFM) was used to measure surface roughness. High-resolution X-ray diffraction (HRXRD) and high-resolution reciprocal lattice maps (HRRLMs) were used to measure the strain relaxation, interface and layer quality of SiGe/Ge multilayer structures.

3. Results and Discussion

3.1. High Epitaxial Quality of the Si0.2Ge0.8/Ge Stack Structure

There were three epitaxial samples with Ge buffer layers of varying thickness, among which sample A had a Ge buffer layer thickness of 0.6 µm, sample B 1.2 µm and sample C 2.0 µm. For these samples, the stack layer thicknesses of Si0.2Ge0.8/Ge/Si0.2Ge0.8/Ge/Si0.2Ge0.8 were kept to 122/20/75/20/75 nm.
In order to analyze the crystal quality of the epitaxial layer and further measure the layer thickness, TEM is needed. Figure 2 presents TEM analysis of the Si0.2Ge0.8/Ge stack structure with a 2.0 µm Ge buffer layer on the Si substrate. As can be seen from Figure 2b, the threading dislocation (TD) defects were confined to the Ge buffer layer. In addition, it can be seen from Figure 2c that a high-quality Si0.2Ge0.8/Ge stack was successfully prepared with minor TD defects. It is also clearly seen that the stack structure contained a smooth surface and abrupt interfaces. The inset in Figure 2c shows the thicknesses of the epitaxial layers obtained by analyzing the TEM images directly, which agreed perfectly with the designed values. We can see that there are sharp Si0.2Ge0.8/Ge interfaces and rough Ge/Si0.2Ge0.8 interfaces in Figure 2d−f. This is because during the growing of heterostructures, an ordered edge misfit dislocations (MDs) grid is formed at the interfaces. The edge MDs of a rough Ge/Si0.2Ge0.8 interface that rapidly formed are sessile dislocations, which cannot penetrate through the buffer layer to the Ge buffer/Si interface by means of gliding [32].
Figure 3 shows the AFM images from Si0.2Ge0.8/Ge stack layers grown on the Ge buffer layer with various thicknesses. The root mean square (RMS), which is the indicator for roughness, decreased from 1.59 nm to 0.73 nm with increasing thickness of Ge buffer layers from 0.6 µm to 1.2 µm. However, as the germanium thickness increased to 2.0 µm, the surface roughness was similar to that of 1.2 µm. The roughening of Si0.2Ge0.8/Ge stack layers grown on thin Ge buffer layers was mainly due to the formation of misfit dislocations with crosshatch undulation [33], surface diffusion and intermixing of Ge and Si at high temperature (650 °C) during the growth of the Ge buffer layer [34]. As can be seen from the analysis above, the thick Ge buffer layer reduced the surface roughness of Si0.2Ge0.8/Ge stack layers. Increasing the thickness of the Ge buffer layer can effectively improve the surface roughness.
In order to further evaluate the structure and quality of epitaxial samples, HRXRD analysis scanning around the (004) diffraction order was implemented on the Si0.2Ge0.8/Ge stack structure with various Ge buffer thickness. The HRXRD results are shown in Figure 4. For the 0.6 µm Ge buffer layer (sample A), a broadened Ge peak in the omega direction (incident angle) was observed with a high full-width at half maximum (FWHM) value of 210 arcsec. The FWHM values decreased to 165 arcsec with the thicker Ge buffer layer (1.2 µm). When the thickness of the germanium buffer layer was 2.0 µm, the Ge peak had a higher intensity and a lower FWHM value (about 150 arcsec) than those of the thinner buffer layer. It can be seen that the thickening of the buffer layer can enhance the crystal quality of the germanium layer and inhibit the continuous upward extension of defects, laying a foundation for the growth of the subsequent film layer.
In the HRXRD spectrum, FWHM characterizes the crystal quality, and the broadening of the diffraction peak indicates an increase in the surface roughness. These results confirm that the thick Ge buffer layers were almost completely relaxed relative to the Si substrate, and the strain Si0.2Ge0.8 layers were grown on the Ge layer, as no small-intensity fringes were found. Figure 4 also shows that the peak value of the Si0.2Ge0.8 layer shifted to right as the buffer thickness increased, which shows that the SiGe layers are more strained. It can be noted that good crystalline quality Si0.2Ge0.8/Ge stack layers can be achieved with the thick Ge buffer layer because the peaks of Si0.2Ge0.8 and Ge had almost no fringes on each side. Thicker Ge buffers can increase the quality of Si0.2Ge0.8/Ge stack layers, but 2 µm Ge results in an increase of substrate bow [35]. Therefore, the flat surface of the 1.2 µm Ge buffer layer can meet the requirement of Ge channel of vertically stacked nanodevices.
High-resolution reciprocal lattice maps (HRRLMs) around (113) reflection have been performed to analyze the strain of Si0.2Ge0.8/Ge stack layers grown on Ge buffer layers with different thicknesses, as shown in Figure 5. It is further confirmed that the thick Ge buffer layers were highly relaxed, and the strained Si0.2Ge0.8/Ge stack layered epitaxially on the Ge buffer/Si (100) layer. From these measurements, we obtained an Si content in the SiGe layer around 20%. The epitaxial layers on the thick Ge buffer layer can be achieved with high film quality and strain amount. Considering their AFM, XRD and HRRLM results, the Si0.2Ge0.8/Ge stack structures grown on the 1.2 µm Ge buffer layer was the best choice. Therefore, the rest of the experiments were carried out on the stack structure with a 1.2 µm Ge buffer layer.

3.2. Selective Wet-Etching of Ge to Si0.2Ge0.8

In order to fabricate a germanium channel in Gate-All-Around vertical nanowires (NWs), Ge needs to be laterally released. Therefore, studies in this section are focused on the selective etching of Ge to SiGe. For the samples used in the experiments, the structure profile was kept constant in thickness and composition as follows: 120 nm Si0.2Ge0.8/35 nm Ge/75 nm Si0.2Ge0.8/35 nm Ge/75 nm Si0.2Ge0.8. It is well known that germanium is more active than silicon, and its oxide GeO2 is soluble in water, with solubility 0.4g/100mL; hence, germanium is soluble in strong acids and bases. In this paper, the effects of different solutions, including acids (HNO3 and H2O2) and acid mixtures of 20%HF/30%H2O2/99.8%CH3COOH (1:2:3), on lateral etching of germanium were studied.
Figure 6 shows the SEM images of the cross-section profile after etching with a mixed solution of HF/H2O2/CH3COOH at different etching times. The results show that the etching rate of Si0.2Ge0.8/Ge stack layers in mixed solution was fast (about 25 nm/s in Si0.2Ge0.8 and 37 nm/s in Ge), and Ge had a very low selection ratio to Si0.2Ge0.8. The layer boundaries of multilayer structures were not obvious, but we could judge the elements in each layer by the amount of etching. The pyramidal shape indicated the Ge (111) plane had a higher etch rate than the Ge (110) plane [36]. Due to time, the etching repeatability of the mixed solution was poor, and the etching rate was difficult to control.
Another solution for etching germanium is nitric acid. HNO3 solution, especially at a high concentration, is easy to volatilize. A light agitation causes a change in concentration and temperature, which can greatly affect our experimental results. Thus, all solutions were carefully designed, and the concentration was measured at regular intervals with a nitric acid concentration measuring instrument to ensure that our experiment was carried out in a stable state. When a high concentration of nitric acid is diluted with deionized water, the temperature will increase, so the experiment should be done after the solution is sealed, and it should be placed for 24 h until it reaches room temperature.
The SEM images of selectively etched germanium in the Ge buffer/Si0.2Ge0.8/Ge stack structure with HNO3 solution are in Figure 7. The samples were immersed in nitric acid with different concentrations for 5 min. Figure 7a shows that Ge was almost not etched with nitric acid at the concentration of 70%, mainly playing an oxidant role. With the decreasing concentration of nitric acid solution, the etching rate became faster. At the concentration of 35%, there was a relative etching amount of Ge of 135 nm. When the nitric acid concentration was as low as 25%, 230 nm Ge was relatively etched. It can be seen that the etching of germanium by nitric acid increased gradually with the decrease of concentration. Ge had a high etching selection ratio to Si0.2Ge0.8. However, it can be seen from Figure 7d that when the concentration of nitric acid was lower than 27%, the etching rate decreased with the decrease of concentration, and it had no effect on germanium when the nitric acid concentration was as low as 7%. The etching rate of germanium in HNO3 solution is a function of HNO3 concentration and is also affected by stirring rate and temperature [37]. The reaction mechanisms of concentrated and diluted nitric acid to germanium are different, as shown in the following equation. Formula (1) shows the reaction with a high concentration of nitric acid to germanium. HNO3 solution mainly acts as an oxidant, and the reaction product is GeO2·H2O. In the whole reaction process, enough GeO2 covers the entire germanium surface to produce passivation and prevent further etching of germanium. The reaction of dilute nitric acid with germanium can be seen in Formula (2). The reaction product is H2GeO3, which is easy to peel from the germanium surface into the solution.
Concentrated nitric acid:
G e + 4 H N O 3 G e O 2 · H 2 O + 4 N O 2 + H 2 O
Dilute nitric acid:
3 G e + 4 H N O 3 + H 2 O 3 H 2 G e O 3 + 4 N O
It is well known that hydrogen peroxide etches germanium. As already mentioned, the high etch rates of Ge are related to the high solubility of GeO2 in water. Generally, it is assumed that etching occurs by the oxidation of germanium by H2O2, followed by dissolution of the oxidation products in aqueous solution, which can be seen in the following Equations (3)–(5):
G e + H 2 O 2 GeO + H 2 O
G e O + H 2 O 2 Ge O 2 + H 2 O
G e O 2 + H 2 O H 2 Ge O 3
The etching profiles of Ge buffer/Si0.2Ge0.8/Ge stack layers immersed in H2O2 (30%) solution for different etching times are shown in Figure 8. Among them, Figure 8a shows Ge was etched by 58 nm relative to Si0.2Ge0.8 with etching time of 30 s. Figure 8b,c shows the relative etching amounts of Ge were 92 nm and 350 nm when the etching times were 1 min and 5 min, respectively. Figure 8d shows that the relative etching depth of Ge was linear with etching time. It can be seen that the H2O2 solution also etched the Si0.2Ge0.8 layer during the experiment, which we need to avoid. Germanium was etched at any H2O2 concentration, even at 0.1%, and with the decrease of H2O2 concentration, the etch rate slowed down, and the etch morphology became very poor (not shown in this paper).
The epitaxial layer quality and more detailed information about the selectivity of different parts of the Si0.2Ge0.8/Ge stack structure were obtained by HRTEM and EDS. Figure 9 displays the TEM micrograph cross-sections and element analysis at areas of the sample etched with H2O2 solution (etched for 10 s). The result shows that Ge content in the SiGe layer was about 80%, which is consistent with our analysis above, and there was no mixing between the layers.
Figure 10 shows the element analysis at areas of the sample etched for (a) 10 s and (b) 2 min with H2O2 solution. The results show that the boundary of each layer was consistent with the design structure, and there was no obvious diffusion of elements. The results provide an experimental basis for the release and selective etching of germanium channels in the future.

4. Conclusions

High-quality strained Si0.2Ge0.8/Ge multilayer structures have been grown on an LT-HT Ge buffer layer and processed with wet-etching of Ge with high selectivity to SiGe. We compared the effects of Ge buffer layers with various thicknesses (0.6, 1.2 and 2.0 µm) on the quality of Si0.2Ge0.8/Ge stack layers. The thicker Ge buffer layer can improve surface roughness. A high quality and atomically smooth surface with RMS 0.73 nm of the Si0.2Ge0.8/Ge stack structure can be successfully realized on the 1.2 µm Ge buffer layer. In order to release germanium, the Ge in Si0.2Ge0.8/Ge multilayers can be easily etched with good selectivity using HNO3 and H2O2 solution at room temperature. It has been found that the solution concentration has a great effect on the etch rate. The etching rate of germanium in HNO3 solution is a function of HNO3 concentration, and the relative etching depth of Ge is linear with etching time in H2O2 solution.

Author Contributions

Conceptualization, L.X., H.Z., G.W., K.J. and H.H.R.; Data curation, L.X. and G.W.; Funding acquisition, H.Z.; Methodology, L.X., Y.Z., X.A., J.L., A.D., Z.K., X.Y., C.L., L.Z., Y.L. and B.L.; Project administration, H.Z.; Supervision, H.Z., G.W. and H.H.R.; Writing—original draft, L.X.; Writing—review & editing, L.X., H.Z., G.W. and H.H.R. All authors have read and agreed to the published version of the manuscript.

Funding

This work was supported by the Academy of Integrated Circuit Innovation (Grant No. Y7YC01X001) and in part by the construction of high-level innovation research institute from the Guangdong Greater Bay Area Institute of Integrated Circuit and System (Grant No. 2019B090909006) and the projects of the construction of new research and development institutions (Grant No. 2019B090904015), in part by the National Key Research and Development Program of China (Grant No. 2016YFA0301701), the National Key Project of Science and Technology of China (Grant No. 2017ZX02315001-002) and the Youth Innovation Promotion Association of CAS (Grant No. 2016112).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Radamson, H.H.; Luo, J.; Simoen, E.; Zhao, C. CMOS Past, Present and Future; Woodhead Publishing: Cambridge, UK, 2018. [Google Scholar]
  2. Loubet, N.; Hook, T.; Montanini, P.; Yeung, C.-W.; Kanakasabapathy, S.; Guillom, M.; Yamashita, T.; Zhang, J.; Miao, X.; Wang, J.; et al. Stacked Nanosheet Gate-All-Around Transistor to Enable Scaling beyond FinFET. In Proceedings of the 2017 Symposium on VLSI Technology, Kyoto, Japan, 5–8 June 2017. [Google Scholar]
  3. Yin, X.; Yang, H.; Xie, L.; Ai, X.Z.; Zhang, Y.B.; Jia, K.P.; Wu, Z.; Ma, X.; Zhang, Q.Z.; Mao, S.; et al. Vertical Sandwich Gate-All-Around Field-Effect Transistors with Self-Aligned High-k Metal Gates and Small Effective-Gate-Length Variation. IEEE Electron Device Lett. 2019, 41, 8–11. [Google Scholar] [CrossRef]
  4. Liu, M.; Scholz, S.; Mertens, K.; Bae, J.H.; Hartmann, J.; Knoch, J.; Buca, D.; Zhao, Q. First Demonstration of Vertical Ge0.92Sn0.08/Ge and Ge GAA Nanowire pMOSFETs with Low SS of 66 mV/dec and Small DIBL of 35 mV/V. In Proceedings of the 2019 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 7–11 December 2019. [Google Scholar]
  5. Radamson, H.H.; Zhang, Y.; He, X.; Cui, H.; Li, J.; Xiang, J.; Liu, J.; Gu, S.; Wang, G. The Challenges of Advanced CMOS Process from 2D to 3D. Appl. Sci. 2017, 7, 1047. [Google Scholar] [CrossRef]
  6. Bera, L.K.; Nguyen, H.S.; Singh, N.; Liow, T.Y.; Huang, D.X.; Hoe, K.M.; Tung, C.H.; Fang, W.W.; Rustagi, S.C.; Jiang, Y.; et al. Three Dimensionally Stacked SiGe Nanowire Array and Gate-All-Around p-MOSFETs. In Proceedings of the 2006 International Electron Devices Meeting, San Francisco, CA, USA, 11–13 December 2006; pp. 1–4. [Google Scholar] [CrossRef]
  7. Dupré, C.; Hubert, A.; Beçu, S.; Jublot, M.; Maffini-Alvaro, V.; Vizioz, C.; Aussenac, F.; Arvet, C.; Barnola, S.; Hartmann, J.-M.; et al. 15nm-Diameter 3D Stacked Nanowires with Independent Gates Operation: ΦFET. In Proceedings of the 2008 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 15–17 December 2008; pp. 1–4. [Google Scholar] [CrossRef]
  8. Gu, J.J.; Wang, X.W.; Shao, J.; Neal, A.T.; Manfra, M.J.; Gordon, R.G.; Ye, P.D. III-V Gate-All-Around Nanowire MOSFET Process Technology: From 3D to 4D. In Proceedings of the 2012 International Electron Devices Meeting, San Francisco, CA, USA, 10–13 December 2012; pp. 23.7.1–23.7.4. [Google Scholar]
  9. Radamson, H.; Thylén, L. Monolithic Nanoscale Photonics–Electronics Integration in Silicon and Other Group IV Elements; Academic Press: Cambridge, MA, USA, 2015. [Google Scholar]
  10. Mertens, H.; Ritzenthaler, R.; Hikavyy, A.; Kim, M.S.; Tao, Z.; Wostyn, K.; Chew, S.A.; De Keersgieter, A.; Mannaert, G.; Rosseel, E.; et al. Gate-All-Around MOSFETs based on Vertically Stacked Horizontal Si Nanowires in a Replacement Metal Gate Process on Bulk Si Substrates. In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016; pp. 1–2. [Google Scholar] [CrossRef]
  11. Huang, Y.-S.; Lu, F.-L.; Tsou, Y.-J.; Tsai, C.-E.; Lin, C.-Y.; Huang, C.-H.; Liu, C.W. First Vertically Stacked GeSn Nanowire pGAAFETs with Ion = 1850μA/μm (Vov = Vds = −1V) on Si by GeSn/Ge CVD Epitaxial Growth and Optimum Selective Etching. In Proceedings of the 2017 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–6 December 2017; pp. 37.5.1–37.5.4. [Google Scholar] [CrossRef]
  12. Chu, C.L.; Wu, K.; Luo, G.-L.; Chen, B.-Y.; Chen, S.-H.; Wu, W.-F.; Yeh, W. Stacked Ge-Nanosheet GAAFETs Fabricated by Ge/Si Multilayer Epitaxy. IEEE Electron Device Lett. 2018, 39, 1133–1136. [Google Scholar] [CrossRef]
  13. Radamson, H.H.; He, X.; Zhang, Q.; Liu, J.; Cui, H.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; Gao, J.; et al. Miniaturization of CMOS. Micromachines 2019, 10, 293. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  14. Rishinaramangalam, A.K.; Masabih, S.M.U.; Fairchild, M.N.; Wright, J.B.; Shima, D.M.; Balakrishnan, G.; Brener, I.; Brueck, S.; Feezell, D.F. Controlled Growth of Ordered III-Nitride Core–Shell Nanostructure Arrays for Visible Optoelectronic Devices. J. Electron. Mater. 2014, 44, 1255–1262. [Google Scholar] [CrossRef]
  15. Yeh, T.-W.; Lin, Y.-T.; Ahn, B.; Stewart, L.S.; Dapkus, P.D.; Nutt, S.R. Vertical nonpolar growth templates for light emitting diodes formed with GaN nanosheets. Appl. Phys. Lett. 2012, 100, 033119. [Google Scholar] [CrossRef] [Green Version]
  16. Kim, R.; Avci, U.E.; Young, I.A. CMOS Performance Benchmarking of Si, InAs, GaAs, and Ge Nanowire n- and pMOSFETs with Lg=13 nm based on Atomistic Quantum Transport Simulation including Strain Effects. In Proceedings of the 2015 IEEE International Electron Devices Meeting (IEDM), Washington, DC, USA, 7–9 December 2015; pp. 34.1.1–34.1.4. [Google Scholar] [CrossRef]
  17. Wang, G.L.; Qin, C.L.; Yin, H.X.; Luo, J.; Duan, N.Y.; Yang, P.; Gao, X.Y.; Yang, T.; Li, J.F.; Yan, J.; et al. Study of SiGe selective epitaxial process integration with high-k and metal gate for 16/14nm nodes FinFET technology. Microelectron. Eng. 2016, 163, 49–54. [Google Scholar] [CrossRef]
  18. Wang, G. Investigation on SiGe Selective Epitaxy for Source and Drain Engineering in 22 nm CMOS Technology Node and Beyond; Springer: Singapore, 2019. [Google Scholar]
  19. Orlowski, M. Si, SiGe, Ge, and III-V Semiconductor Nanomembranes and Nanowires Enabled by SiGe Epitaxy. ECS Meet. Abstr. 2010, 33, 777–789. [Google Scholar] [CrossRef] [Green Version]
  20. Raissi, M.; Regula, G.; Belgacem, C.H.; Rochdi, N.; Bozzo-Escoubas, S.; Coudreau, C.; Hollander, B.; Fnaiech, M.; D’Avitaya, F.; Lazzari, J.-L. Different architectures of relaxed Si1−xGex/Si pseudo-substrates grown by low-pressure chemical vapor deposition: Structural and morphological characteristics. J. Cryst. Growth 2011, 328, 18–24. [Google Scholar] [CrossRef]
  21. Radamson, H.H.; Kolahdouz, M. Selective epitaxy growth of Si1−xGex layers for MOSFETs and FinFETs. J. Mater. Sci. Mater. Electron. 2015, 26, 4584–4603. [Google Scholar] [CrossRef]
  22. Sebaai, F.; Witters, L.; Holsteyns, F.; Wostyn, K.; Rip, J.; Yukifumi, Y.; Lieten, R.R.; Bilodeau, S.; Cooper, E. Wet Selective SiGe Etch to Enable Ge Nanowire Formation. Solid State Phenom. 2016, 255, 3–7. [Google Scholar] [CrossRef]
  23. Sioncke, S.; Brunco, D.P.; Meuris, M.; Uwamahoro, O.; Van Steenbergen, J.; Vrancken, E.; Heyns, M.M. Etch Rates of Ge, GaAs and InGaAs in Acids, Bases and Peroxide Based Mixtures. ECS Trans. 2008, 16, 451–460. [Google Scholar] [CrossRef]
  24. Hollaender, B.; Buca, D.; Mantl, S.; Hartmann, J.M. Wet Chemical Etching of Si, Si1−xGex, and Ge in HF:H2O2:CH3COOH. J. Electrochem. Soc. 2010, 157, H643–H646. [Google Scholar]
  25. Liu, W.D.; Lee, Y.-C.; Sekiguchi, R.; Yoshida, Y.; Komori, K.; Wostyn, K.; Sebaai, F.; Holsteyns, F. Selective Wet Etching in Fabricating SiGe and Ge Nanowires for Gate-all-Around MOSFETs. Solid State Phenom. 2018, 282, 101–106. [Google Scholar] [CrossRef]
  26. Peralagu, U.; Li, X.; Ignatova, O.; Fu, Y.; Millar, D.A.J.; Steer, M.J.; Povey, I.M.; Hossain, K.; Jain, M.; Golding, T.G.; et al. Towards a Vertical and Damage Free Post-Etch InGaAs Fin Profile: Dry Etch Processing, Sidewall Damage Assessment and Mitigation Options. ECS Trans. 2015, 69, 15–36. [Google Scholar] [CrossRef] [Green Version]
  27. Oehrlein, G.S.; Tromp, R.M.; Lee, Y.H.; Petrillo, E.J. Study of silicon contamination and near-surface damage caused by CF4/H2 reactive ion etching. Appl. Phys. Lett. 1984, 45, 420–422. [Google Scholar] [CrossRef]
  28. Petti, C.; McVittie, J.; Plummer, J. Characterization of Surface Mobility on the Sidewalls of Dry-Etched Trenches. In Technical Digest, Proceedings of the International Electron Devices Meeting, San Francisco, CA, USA, 11–14 December 1988; IEEE: Piscataway, NJ, USA, 1988; pp. 104–107. [Google Scholar] [CrossRef]
  29. Radamson, H.H.; Zhu, H.; Wu, Z.; He, X.; Lin, H.; Liu, J.; Xiang, J.; Kong, Z.; Xiong, W.; Li, J.; et al. State of the Art and Future Perspectives in Advanced CMOS Technology. Nanomaterials 2020, 10, 1555. [Google Scholar] [CrossRef]
  30. Radamson, H.; Joelsson, K.; Ni, W.-X.; Birch, J.; Sundgren, J.-E.; Hultman, L.; Hansson, G. Strain characterization of Ge1−xSix and heavily B-doped Ge layers on Ge(001) by two-dimensional reciprocal space mapping. J. Cryst. Growth 1996, 167, 495–501. [Google Scholar] [CrossRef]
  31. Ni, W.-X.; Ekberg, J.; Joelsson, K.; Radamson, H.; Henry, A.; Shen, G.-D.; Hansson, G. A silicon molecular beam epitaxy system dedicated to device-oriented material research. J. Cryst. Growth 1995, 157, 285–294. [Google Scholar] [CrossRef]
  32. Bolkhovityanov, Y.B.; Deryabin, A.S.; Gutakovskii, A.K.; Sokolov, L.V.; Vasilenko, A.P. Dislocation interaction of layers in the Ge/Ge-seed/GexSi1−x/Si (001) (x~0.3–0.5) system: Trapping of misfit dislocations on the Ge-seed/GeSi interface. Acta Mater. 2013, 61, 5400–5405. [Google Scholar] [CrossRef]
  33. Chen, C.; Zhou, Z.; Chen, Y.; Li, C.; Lai, H.; Chen, S. Thermal stability of SiGe films on an ultra thin Ge buffer layer on Si grown at low temperature. Appl. Surf. Sci. 2010, 256, 6936–6940. [Google Scholar] [CrossRef]
  34. Kim, H.-W.; Shin, K.W.; Lee, G.-D.; Yoon, E. High quality Ge epitaxial layers on Si by ultrahigh vacuum chemical vapor deposition. Thin Solid Film. 2009, 517, 3990–3994. [Google Scholar] [CrossRef]
  35. Bogumilowicz, Y.; Hartmann, J.; Rochat, N.; Salaun, A.; Martin, M.; Bassani, F.; Baron, T.; David, S.; Bao, X.-Y.; Sanchez, E. Threading dislocations in GaAs epitaxial layers on various thickness Ge buffers on 300 mm Si substrates. J. Cryst. Growth 2016, 453, 180–187. [Google Scholar] [CrossRef]
  36. Wostyn, K.; Sebaai, F.; Rip, J.; Mertens, H.; Witters, L.; Loo, R.; Hikavyy, A.Y.; Milenin, A.; Thean, A.; Horiguchi, N.; et al. Selective Etch of Si and SiGe for Gate All-Around Device Architecture. ECS Transactions 2015, 69, 147–152. [Google Scholar] [CrossRef]
  37. Cretella, M.C.; Gatos, H.C. The Reaction of Germanium with Nitric Acid Solutions. J. Electrochem. Soc. 1958, 105, 487. [Google Scholar] [CrossRef]
Figure 1. (a) Main process flow diagram, (b) material structure, (c) fin pattern formation with hard mask (HM), (d) wet selective etching of Ge in Si0.2Ge0.8/Ge multilayers.
Figure 1. (a) Main process flow diagram, (b) material structure, (c) fin pattern formation with hard mask (HM), (d) wet selective etching of Ge in Si0.2Ge0.8/Ge multilayers.
Nanomaterials 10 01715 g001
Figure 2. (a) The epitaxial stack structure diagram of Si/Ge buffer/Si0.2Ge0.8/Ge; (b−c) TEM images for the Si0.2Ge0.8/Ge multilayers grown on a 2.0 µm Ge buffer layer (sample C); the inset in (c) shows the thickness of each layer determined by TEM; (d−f) high-resolution images at interface between Si0.2Ge0.8 and Ge.
Figure 2. (a) The epitaxial stack structure diagram of Si/Ge buffer/Si0.2Ge0.8/Ge; (b−c) TEM images for the Si0.2Ge0.8/Ge multilayers grown on a 2.0 µm Ge buffer layer (sample C); the inset in (c) shows the thickness of each layer determined by TEM; (d−f) high-resolution images at interface between Si0.2Ge0.8 and Ge.
Nanomaterials 10 01715 g002
Figure 3. AFM images (10 × 10 μm2) of Si0.2Ge0.8/Ge multilayers grown on (a) 0.6, (b) 1.2 and (c) 2.0 µm thick Ge buffer layers. The estimated RMS for surface roughness values of these samples are 1.59, 0.73 and 0.85 nm, respectively.
Figure 3. AFM images (10 × 10 μm2) of Si0.2Ge0.8/Ge multilayers grown on (a) 0.6, (b) 1.2 and (c) 2.0 µm thick Ge buffer layers. The estimated RMS for surface roughness values of these samples are 1.59, 0.73 and 0.85 nm, respectively.
Nanomaterials 10 01715 g003
Figure 4. HRXRD spectra measured with various Ge buffer layer thicknesses of 0.6, 1.2 and 2.0 µm. The FWHM values of Ge peaks are 210 arcsec (sample A), 165 arcsec (sample B) and 150 arcsec (sample C), respectively.
Figure 4. HRXRD spectra measured with various Ge buffer layer thicknesses of 0.6, 1.2 and 2.0 µm. The FWHM values of Ge peaks are 210 arcsec (sample A), 165 arcsec (sample B) and 150 arcsec (sample C), respectively.
Nanomaterials 10 01715 g004
Figure 5. HRRLMs around (113) reflection of strained Si0.2Ge0.8/Ge stack layers grown on a Ge buffer layer with thicknesses of (a) 0.6, (b) 1.2 and (c) 2.0 µm.
Figure 5. HRRLMs around (113) reflection of strained Si0.2Ge0.8/Ge stack layers grown on a Ge buffer layer with thicknesses of (a) 0.6, (b) 1.2 and (c) 2.0 µm.
Nanomaterials 10 01715 g005
Figure 6. The SEM cross-section images of the Ge buffer/Si0.2Ge0.8/Ge stack structure after etching at different times in mixed solution HF/H2O2/CH3COOH (1:2:3): (a) etching for 2 s, (b) etching for 4 s, (c) etching for 6 s.
Figure 6. The SEM cross-section images of the Ge buffer/Si0.2Ge0.8/Ge stack structure after etching at different times in mixed solution HF/H2O2/CH3COOH (1:2:3): (a) etching for 2 s, (b) etching for 4 s, (c) etching for 6 s.
Nanomaterials 10 01715 g006
Figure 7. The SEM cross-section images of the Ge buffer/Si0.2Ge0.8/Ge stack structure in HNO3 solution for 5 min in different concentrations: (a) 70% HNO3, (b) 35% HNO3 and (c) 25% HNO3. (d) Etching rate of germanium in HNO3 solution as a function of HNO3 concentration.
Figure 7. The SEM cross-section images of the Ge buffer/Si0.2Ge0.8/Ge stack structure in HNO3 solution for 5 min in different concentrations: (a) 70% HNO3, (b) 35% HNO3 and (c) 25% HNO3. (d) Etching rate of germanium in HNO3 solution as a function of HNO3 concentration.
Nanomaterials 10 01715 g007
Figure 8. The Ge buffer/Si0.2Ge0.8/Ge stack structure immersed in H2O2 (30%) solution for different etching times: (a) 30 s, (b) 1 min, and (c) 5 min. (d) The relative etching depth of Ge in H2O2 solution is linear with the etching time.
Figure 8. The Ge buffer/Si0.2Ge0.8/Ge stack structure immersed in H2O2 (30%) solution for different etching times: (a) 30 s, (b) 1 min, and (c) 5 min. (d) The relative etching depth of Ge in H2O2 solution is linear with the etching time.
Nanomaterials 10 01715 g008
Figure 9. TEM image of etching profile and EDS analysis with line scanning of Si and Ge in vertical orientation of the Ge buffer/Si0.2Ge0.8/Ge stack structure.
Figure 9. TEM image of etching profile and EDS analysis with line scanning of Si and Ge in vertical orientation of the Ge buffer/Si0.2Ge0.8/Ge stack structure.
Nanomaterials 10 01715 g009
Figure 10. EDS mapping near etching regions with elements Ge, Si, C and O, (a) sample etching for 10 s with H2O2 solution, (b) sample etching for 2 min with H2O2 solution.
Figure 10. EDS mapping near etching regions with elements Ge, Si, C and O, (a) sample etching for 10 s with H2O2 solution, (b) sample etching for 2 min with H2O2 solution.
Nanomaterials 10 01715 g010

Share and Cite

MDPI and ACS Style

Xie, L.; Zhu, H.; Zhang, Y.; Ai, X.; Wang, G.; Li, J.; Du, A.; Kong, Z.; Yin, X.; Li, C.; et al. Strained Si0.2Ge0.8/Ge multilayer Stacks Epitaxially Grown on a Low-/High-Temperature Ge Buffer Layer and Selective Wet-Etching of Germanium. Nanomaterials 2020, 10, 1715. https://doi.org/10.3390/nano10091715

AMA Style

Xie L, Zhu H, Zhang Y, Ai X, Wang G, Li J, Du A, Kong Z, Yin X, Li C, et al. Strained Si0.2Ge0.8/Ge multilayer Stacks Epitaxially Grown on a Low-/High-Temperature Ge Buffer Layer and Selective Wet-Etching of Germanium. Nanomaterials. 2020; 10(9):1715. https://doi.org/10.3390/nano10091715

Chicago/Turabian Style

Xie, Lu, Huilong Zhu, Yongkui Zhang, Xuezheng Ai, Guilei Wang, Junjie Li, Anyan Du, Zhenzhen Kong, Xiaogen Yin, Chen Li, and et al. 2020. "Strained Si0.2Ge0.8/Ge multilayer Stacks Epitaxially Grown on a Low-/High-Temperature Ge Buffer Layer and Selective Wet-Etching of Germanium" Nanomaterials 10, no. 9: 1715. https://doi.org/10.3390/nano10091715

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop