Next Article in Journal
BP-Adaptive PID Regulation for Constant Current and Voltage Control in WPT Systems
Previous Article in Journal
Benefit Evaluation of Carbon Reduction and Loss Reduction under a Coordinated Transportation–Electricity Network
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Insulation Detection of Electric Vehicles by Using FPGA-Based Recursive-Least-Squares Algorithm

1
Department of Electrical and Electronics Engineering, Manipal Institute of Technology Bengaluru, Manipal Academy of Higher Education, Manipal, Udupi 576104, Karnataka, India
2
Department of Electrical Engineering, Malaviya National Institute of Technology, Jaipur 302017, Rajasthan, India
3
Department of Electronics and Communication Engineering, Manipal Institute of Technology Bengaluru, Manipal Academy of Higher Education, Manipal, Udupi 576104, Karnataka, India
*
Author to whom correspondence should be addressed.
These authors contributed equally to this work.
World Electr. Veh. J. 2024, 15(1), 25; https://doi.org/10.3390/wevj15010025
Submission received: 19 November 2023 / Revised: 7 December 2023 / Accepted: 17 December 2023 / Published: 11 January 2024

Abstract

:
The principal reason for why electric vehicles are required to serve as an alternative to the more widespread gasoline and petroleum-based vehicles used in modern times is due to the use of an environmentally conscious means of transportation or to circumvent the tumultuous economic dealings of the compressed natural gas and petroleum industries. There is a growing daily need for large, high-voltage e-mobilities, mostly driven by anticipated advancements in electric vehicle technology. Consequently, all of the various components of these vehicles must be able to be accommodated within a limited and compact space. The battery is an essential component in e-mobility. The insulation, health monitoring, and problem diagnostics of lithium-ion (Li-ion) batteries are of utmost importance in ensuring these vehicles’ safety and efficient functioning. Real-time and fast insulation detection techniques are required to ensure safety in high-voltage (HV) vehicles and to avoid insulation failure. This paper used the Recursive-Least-Squares (RLS) algorithm because it is computationally efficient for building the insulation detection system. Based on the RLS technique, we proposed field programmable gate array (FPGA)-based algorithms and implemented them using VHDL coding. The FPGA is very fast at detection, and the error is lower. We validated the FPGA results with MATLAB simulation results from the existing literature, and the errors are much less when using FPGAs. An experimental hardware platform was also created to validate the proposed FPGA technique with various motor and resistive loadings on electric vehicles (EVs).

1. Introduction

In response to the global need to decrease reliance on fossil fuels and traditional transportation-related emissions, much research and production is being performed on electric vehicles (EVs) [1,2,3,4]. Li-ion batteries are crucial to the electric vehicle’s energy storage system. The safety of the system is seriously jeopardized by the large-scale battery module, particularly the electrical insulation [5,6,7,8,9]. Insulation failure in a system can be caused by environmental causes such as rain erosion, vibrations, temperature, short circuit occurrences in the electrical circuits, and aged lines [10,11,12,13,14]. Thus, efficient and prompt insulation defect monitoring is essential to the system’s safe operation. Researchers have proposed several detection approaches to identify insulation resistance in DC systems. Insulation detection strategies have been published in the literature [15,16,17]. Methods like the voltmeter, the balanced and unbalanced bridge method, and marginal insulation detection are frequently employed. The voltmeter approach can only be used when conducting an offline measurement. The bridge-balanced method is a static measurement technique. Instances where the bilateral insulating resistances decrease simultaneously are not a good fit for this method. When there is an excessive gap between the negative and positive insulating resistance, it is easy to trigger false alarms.
After a thorough literature review [18,19,20,21,22,23,24,25], this paper focused on battery insulation detection for safety reasons. For the insulation detection of the battery, which is a high-value resistor linked between the battery and the vehicle’s chassis so that the current cannot come into touch with the chassis, the theory of insulation resistance is employed in the literature [26,27]. Therefore, the working circumstances of these components are quite complex, even after several safety components have been arranged. The power supply terminal insulation is susceptible to various corrosion scenarios and temperature, humidity, and vibration variations. These factors weaken the insulation of all equipment and increase the risk of short circuits [28,29,30,31]. Therefore, when in touch with the body’s chassis, each positive and negative battery terminal should have a particular resistance. In insulation detection, adaptive filtering techniques such as RLS and LMS are commonly utilized in signal processing and adaptive filtering [32,33]. While both algorithms share the goal of minimizing the discrepancy between the desired and observed outputs by manipulating filter coefficients, they differ in their approaches and degrees of efficacy. The RLS method possesses some advantages compared to the LMS algorithm [34,35,36]. The RLS algorithm exhibits faster convergence compared to the LMS algorithm, rendering it suitable for scenarios that demand prompt adaptability to changes in input data. The FPGAs offer several advantages when implementing adaptive filter algorithms, such as performing parallel processing, enabling them to execute multiple tasks simultaneously. This is advantageous for adaptive filter algorithms, as it allows for the parallel computation of filter coefficients, resulting in faster processing times. FPGAs are highly customizable, allowing designers to implement adaptive filter algorithms with specific requirements. This flexibility is beneficial for tailoring the hardware to the characteristics of the algorithm, optimizing performance, and potentially reducing power consumption. This paper proposes an FPGA solution for real-time insulation failure detection. Validated the FPGA results with the existing literature’s MATLAB simulation results; the error is significantly less in the FPGA results. Improved FPGA results were observed and explained in detail in the Section 3.
Furthermore, an FPGA-based hardware experimental platform was created, with various motor and resistive loading experiments on electric cars being conducted to validate the suggested FPGA technique.
The rest of this study is organized as follows: Section 2 introduces the materials and methods, broadly discusses the insulation detection analysis and the battery simplified circuit model, and presents the traditional RLS algorithm and FPGA algorithm. Section 3 presents the simulation and experimental results, discussed in detail; and Section 4 presents the conclusion.

2. Materials and Methods

Figure 1 illustrates the equivalent circuit of the insulation resistance detection circuit model for detecting insulation resistance using the low-frequency signal injection method in the battery kit. The illustration showcases the primary constituents of a high-power supply for an electric car, including the inverter, motor, disconnectors, vehicle chassis, and battery circuit module. The genesis of the HV system has been investigated in the literature [10,11,12,13]. The battery’s insulation resistance counting model and voltage resistance values are considered [11,12] as the input values for Simulink and the proposed FPGA algorithm.
According to Figure 1a,b, V b t denotes the battery kit terminal voltage, U s denotes the low-signal source, R 1 and R 2 are current-limiting resistances with values of R l m , and R f b denotes the feedback resistance for sampling the feedback voltage. The electric vehicle chassis is also referred to as ground (GND). It is crucial to assess the battery pack’s positive and negative insulating resistance since the positive and negative electrodes experience the highest levels of leakage current [14,15]. The positive side-insulation defect is depicted in Figure 1a, whereas a simplified version of the negative side-insulation defect is presented in Figure 1b. The battery pack voltage V b t can be defined as:
V b t = n = 1 n E i
I 1 and I 2 indicate the currents of mesh-1 and mesh-2. V f b is the feedback voltage. U s is the the voltage of the signal source. R c represents the negative insulation resistance. The circuit loop equations can be written
V b t R 1 I 1 + R 2 I 2 = 0
when R 1 = R 2 = R l m , then the above equation is re-written as
V b t I 1 R l m + I 2 R l m = 0
( I 2 I 1 ) R l m + I 2 R l m U s + I 2 R c = 0
where I 2 = V f b / R f b . The expression for the negative insulating resistance is
R c = R f b V f b ( V b t 2 + U s ) ( R l m 2 + R f b )
The following equations demonstrate how the same strategy may be used to derive the positive insulation resistance R a .
R a = R f b V f b ( V b t 2 U s ) ( R l m 2 + R f b )
Equation (7) is the uniform standard form of Equations (5) and (6).
R = R f b | V f b | ( V b t 2 | U s | ) ( R l m 2 + R f b )

Formulation of the RLS Algorithm

The RLS algorithm demonstrates superior performance in non-stationary situations, characterized by the fluctuating statistical properties of input signals across time. In such scenarios, it is worth noting that the performance of the LMS algorithm may be hindered due to the potential challenges associated with the step size parameter [37,38]. Specifically, the necessity for the dynamic adjustment of this parameter might significantly impact the rate at which the algorithm adapts. When applied to small to intermediate-scale problems, the RLS algorithm is generally recognized as being more computationally efficient. The computational cost of each iteration of the RLS algorithm remains constant, while the computational complexity of the LMS algorithm grows exponentially as the number of filter taps rises. RLS can potentially enhance tracking performance in applications that are characterized by system fluctuations. LMS algorithms may take longer to converge or they may need extra steps, like pre-whitening, to be taken into account when there are correlated input signals. Maintaining a recursive estimate of the inverse correlation matrix achieves the RLS algorithm’s memory efficiency. This enables the algorithm to update its parameters while maintaining constant memory requirements. On the other hand, the LMS algorithm necessitates the retainment of a record of input samples for every tap in the filter, leading to increased memory demands [39,40].
The RLS algorithms are formulated such that the total-squared-error E(n) at the nth iteration is denoted as [35,36,37,38,39]
E ( m ) = j = 0 m e 2 ( j ) = j = 0 m ( u ( j ) y ( j ) ) 2
The standard least-squares method was changed by adding a “forgetting factor”. λ m j is added to each error term, making sure that 0 < λ < 1; then, Equation (8) is modified as follows:
E ( m ) = j = 0 m λ m j e 2 ( j ) = j = 0 m λ m j ( u ( j ) y ( j ) ) 2 = j = 0 m ( u ( j ) y ( j ) ) 2
where u ( j ) = λ m j u ( j ) and y ( j ) = λ m j y ( j ) . The λ factor provides more weight to recent data points, enabling the filter to monitor changing statistics in the input data. The convolution sum determines the FIR filter output.
y ( m ) = k = 0 N 1 c k x ( m k )
Additionally, for stationary waveforms, Equation (8) at time step n reduces to
E ( m ) = j = 0 m u 2 ( j ) + j = 0 m y 2 ( j ) 2 j = 0 m u ( j ) y ( j )
= j = 0 m λ m 1 u 2 ( j ) + j = 0 m λ m 1 k = 0 N 1 k = 0 N 1 c k c m x ( n k ) x ( m n ) 2 j = 0 m λ m 1 k = 0 N 1 c k x ( m k ) u ( j )
By setting the derivatives of each of the filter coefficients c k (m) to zero, it is feasible to determine the optimum FIR filter coefficients c k (m), k = 0, , N − 1, that minimize E (m).
E c k ( m ) = 0 , k = 0 , 1 , , N 1 ,
This generates a series of linear equations with the best coefficients feasible, c k (m). Equation (12) can be used to formulate these equations in matrix form.
Q ( M ) c ( m ) = V ( m )
or
c ( m ) = Q 1 ( m ) V ( m ) ,
where c ( m ) = [ c 0 ( m ) c 1 ( m ) c 2 ( m )  …  c N 1 ( m ) ] T is a N × 1 column vector of the filter coefficients,
Q ( m ) = j = 0 m λ m j x ( j ) x T ( j )
is a N × N matrix, and
x ( j ) = [ x ( j ) x ( j 1 ) x ( j 2 ) x ( j ( N 1 ) ) ] T
is a column vector of the recent input history and
V ( m ) = j = 0 m λ m j x ( j ) u ( j )
is a N × 1 column vector.
The approach leverages the outcome of the preceding step to obtain the updated inverse of Q ( m ) using an iterative algebraic procedure. One may compute Q ( m ) iteratively, as demonstrated by Equation (16).
Q ( j ) = λ Q ( m 1 ) + x ( m ) x T ( m )
To compute the filter coefficients in Equation (15), we need a recursive formulation of Q 1 ( m ) based on Q 1 (m− 1). From Equation (19), the matrix inversion is
Q 1 ( m ) = λ 1 [ Q ( m 1 ) + x ( m ) λ m x T ( m ) ] 1 = λ 1 [ Q 1 ( m 1 ) Q 1 m 1 x ( m ) x T ( m ) Q 1 ( m 1 ) λ + x T ( m ) Q 1 ( m 1 ) x ( m ) ]
The equation Q 1 ( m ) may be calculated using the output of the prior time-step and the current input history vector x ( m ) thanks to an algebraic recursion relationship. Equation (18) also allows for the recursive definition of V ( m ) .
V ( m ) = λ V ( m 1 ) + u ( m ) x ( m )
Therefore, the RLS filter design is based on Equations (15), (20) and (21). Assuming the establishment of a vector of Kalman gains with N dimensions,
G ( m ) = Q 1 m 1 x ( m ) λ + x T ( m ) Q 1 ( m 1 ) x ( m )
Rewriting Equation (20) allows us to
Q 1 ( m ) = λ 1 [ Q 1 ( m 1 ) G ( m ) x T ( m ) Q 1 ( m 1 ) ]
The filter update equation, Equation (15), can be written as a recursion.
c ( m ) = Q 1 ( m ) V ( m ) = λ 1 [ Q 1 ( m 1 ) G ( m ) x T ( m ) Q 1 ( m 1 ) ] [ λ V ( m 1 ) + u ( m ) x ( m ) ] = Q 1 ( m 1 ) V ( m 1 ) + λ 1 u ( m ) Q 1 ( m 1 ) x ( m ) G ( m ) x T ( m ) Q 1 ( m 1 ) V ( m 1 ) λ 1 u ( m ) G ( m ) x T ( m ) Q 1 ( m 1 ) x ( m ) = c ( m 1 ) + G ( m ) u ( m ) x T ( m ) c ( m 1 )
Using the preceding set of filter coefficients, It is observed that y ( m ) = x T ( m ) c ( m 1 ) is the convolution sum that generates the filter output y ( m ) . As a result,
c ( m ) = c ( m 1 ) + G ( m ) ( u ( m ) y ( m ) ) = c ( m 1 ) G ( m ) e ( m )
Above are the equations for updating the recursive filter concerning the filter output error and Kalman gains. The Pseudo code for the RLS algorithm is shown in the section of Algorithm 1.
Algorithm 1 Pseudo Code for the RLS Algorithm
  • Provide inputs source, and desired and battery voltage to the system
  • Calculate and estimate the Insulation Resistance and observe the error
  • Iterate for j = 0 to m   1 samples
  • Update the input history vector x ( m ) using Desired output u ( m ) .
  • Use the last set of filter values to figure out the filter output c ( m 1 ) .
    y ( m ) = x T ( m ) c ( m 1 )
  • Error Computation calculation
    e ( m ) = u ( m ) y ( m )
  • Computation of the gain
    G ( m ) = ( Q 1 m 1 x ( m ) ) / ( λ + x T ( m ) Q 1 ( m 1 ) x ( m ) )
  • Update the Q 1 ( m ) matrix for the next iteration
    Q 1 ( m ) = λ 1 [ Q 1 ( m 1 ) G ( m ) x T ( m ) Q 1 ( m 1 ) ]
  • For the subsequent iteration, update the filter coefficients c ( m ) = c ( m 1 ) + G ( m ) e ( m )
The experimental setup created and simulated the FPGA algorithms using VHDL coding based on the RLS. FPGA has several advantages over the LMS and RLS filters in insulation detection systems. FPGAs provide low-latency processing, making them suitable for applications where real-time or near-real-time processing is essential, such as communication systems, audio processing, or control systems. Users can reprogram FPGAs to adapt to changing algorithmic requirements or to implement different adaptive filter structures. This reconfigurability benefits scenarios where the algorithm needs updating or modification. The FPGAs are more energy-efficient for specific computations than are software-based implementations running on general-purpose processors. This is particularly important in applications where power consumption is a critical factor. The implementation of the FPGA algorithms is shown in the Algorithm 2 section.
Algorithm 2 Implementation of FPGA-based RLS algorithm
process(clk, reset)
begin
if reset = ’1’ then w <= (others => 0.0);
P <= 1.0;
x_reg <= (others => ’0’);
error_reg <= (others => ’0’);
elsif rising_edge(clk) then
– Update input signal register
x_reg <= x & x_reg(N-1 downto 1); – Compute the output a o u t <= std_logic_vector(to_slv(w));
– Compute the error
errorx_reg <= std_logic_vector(to_slv((signed(d) -
signed(a_out(N-1 downto 0))) ∗ to_fixed(P, 16)));
– Update the weight vector and P matrix
if we = ’1’ then
– Compute intermediate values
signal P_x : real := 0.0;
for i in 0 to N-1 loop
P_x := P_x + to_fixed(x_reg(i), 8) ∗ w(i);
end loop;
signal alpha : real := 1.0 / (lambda + P_x);
– Update weight vector,
for i in 0 to N-1 loop
w(i) <= w(i) + alpha ∗ error_reg(i);
end loop;
– Update P matrix
P <= lambda ∗ P + alpha ∗ P_x ∗ alpha;
end if;
end if;
end process;
function to_slv(data : real) return std_logic_vector is
variable result : std_logic_vector(7 downto 0) := (others => ’0’);
begin
result := std_logic_vector(resize(real(to_integer(signed(result)) + data), result’length));
return result;
end function;
function to_fixed(data : real; width : integer) return real is
variable factor : real := 2.0 ∗∗ width;
begin
return data ∗ factor;
end function;

3. Results and Discussion

An experimental platform has been established to confirm the efficacy of the suggested insulation resistance estimate technique and to facilitate test verification. The voltage and resistance of the positive and negative battery connectors are 300 V and 2400 K Ω , respectively. The MATLAB simulation is simulated based on an unbalanced bridge circuit, and the signal injection method for analysis using the RLS method in R2022a MATLAB software. Four unique situations are categorized based on the battery terminal insulation under normal and fault conditions. All four conceivable conditions are confirmed using the RLS algorithm of the previous MATLAB findings, and the FPGA results are enhanced.
  • Constant voltage and constant resistance (CVCR) condition.
  • Constant voltage and variable resistance (CVVR) condition.
  • Variable voltage and constant resistance (VVCR) condition.
  • Variable voltage and variable resistance (VVVR) condition.

3.1. MATLAB Simulation Results

Constant voltage and constant resistance condition: The MATLAB setup provided the system with a constant 300 V battery voltage, accompanied by noise. The system operates at a constant voltage of 300 V and maintains a positive constant insulation resistance of 220 K Ω . The filtration characteristics have allowed for a considerable improvement in the system’s insulation resistance and battery performance, as tested by the RLS algorithm, and it can be observed in Figure 2. The RLS algorithm recursively keeps track of the inverse correlation matrix, allowing it to update its parameters while requiring less memory overall. The system’s error has also decreased significantly, and its constant forgetting factor is 0.992, making it more stable. The error output of the system falls between −6.3177 and 5.488.
Constant voltage and variable resistance condition: The battery pack’s insulating resistance may fluctuate abruptly due to unforeseen circumstances, such as short circuits. For the insulation detection technique, this presents a more substantial real-time performance problem. In this state, the system is subjected to varying resistance and constant voltage. The resistance is changing by 20 K Ω , with a steady change starting at 150 K Ω and concentrating mainly at 220 K Ω . The test results shown above reflect a form of positive insulating resistance. The in-error output signal below varies in the range of −7 to 8 using the RLS algorithm, shown in Figure 3. The measured voltage has a lot of noise because of system noise and sampling precision. The direct measurement method’s computation results suffer greatly due to this. Specifically, the feedback signal’s amplitude gradually diminishes. This is a result of the split voltage on the feedback resistance decreasing as the insulation resistance increases; altering the resistance will cause the system to become less stable than it was previously. Similarly, practical outcomes have been observed for the insulation resistance on the negative side. This test condition demonstrates that the previously employed CVCR condition is stable when compared with the CVVR condition.
Variable voltage and constant resistance condition: A steady 220 K Ω of resistance and the battery voltage, which is added with noise, varies from 290 V to 330 V. In this test, the conditions of positive-side constant insulating resistance and variable voltage are considered. The RLS algorithm was utilized to test the system’s battery terminal insulation resistance. The system is less stable than in the above two circumstances when the voltage varies. In this case, the algorithm’s computation inaccuracy increases noticeably when there is a quick shift in battery voltage. Figure 4 shows the errors, ranging from −9 to 12. The voltage fluctuations cause a decrease in the system’s stability. Researchers have noted similar experimental results on the negative side of the insulating resistance. This test condition demonstrates that the previously employed approach, which is CVVR, is appropriate when compared with VVCR.
Variable voltage and variable resistance condition: The battery’s resistance gradually changes from 150 K Ω , but it is primarily concentrated at around 220 K Ω . The change in resistance is 20 K Ω . The variable battery voltage fluctuates between 290 V and 330 V. The aforementioned test findings are seen in situations where the insulating resistance is not favorable, both because of sudden changes in the voltage and gradual changes in the resistance, which will make the system more unstable. Due to the slow changes in voltage and resistance, the system will not be as stable, and errors will occur. The error in this condition is very high, in the range of −25 to 15, as observed in Figure 5. The system undergoes the same condition with a negative constant insulating resistance. The RLS algorithm was utilized to assess the system’s insulation resistance and battery performance. The system is less stable than in the preceding three circumstances when the voltage and resistance are varied.

3.2. FPGA Results and Discussion

The FPGA-based RLS algorithm verified the four distinct conditions of the insulation circuit model. The VHDL code for the RLS algorithm is produced, and Xilinx Vivado is used to simulate the results. The simulation results of the RLS algorithm, with clock, reset, constant voltage, constant resistance, variable voltage, variable resistance, enable, and desired voltage as inputs and anticipated output data, error output, filter weight data, and forgetting factor as outputs, are used in the FPGA kit. When the reset button is removed and the enable signal is in a high position, the system sends input to the FPGA for additional processing. The FPGA’s high-speed performance yields a more precise and steady output. The system will become more stable as the frequency of changing the algorithm’s weight rises. The update rate and estimation frequency are higher in this test setup because the data sampling will happen at a higher rate, and an improvement in the estimation of error has also been observed. An experimental setup was built to test the proposed FPGA algorithm for the above four MATLAB test conditions.
The electric vehicle and insulation detection experimental setup configuration is shown in Figure 6. This experiment introduces the battery pack, controller, motor systems, resistive loading, and battery management system (BMS). The BMS is an electronic device that manages the rechargeable battery pack in a system. The main working principle of a BMS involves the monitoring and control of various parameters of the battery, such as its state of charge, temperature, and voltage. The motor and resistive loading are applied to the electric vehicle kit for the current and voltage variations. The insulation detection test bench components include a battery pack, a power supply module (0–5 A, 0–30 V), a high-voltage power supply module, a DC resistor, an FPGA-based insulation detector, a personal computer (PC), and a high-voltage power supply module. The battery pack achieves the desired voltage for the experiments by linking the cells both in series and in parallel. The battery pack supplies a high fixed voltage for the static experiment. To simulate insulation resistance, a resistor is utilized. We use the PC to store and to analyze the experimental data, which includes the voltage of the battery pack, the voltage of the injection signal, the voltage of the feedback signal, and the estimation value for the insulation resistance. The experiments are conducted at room temperature. The Artix 7 FPGA from Xilinx is used instead of the MCU to test the proposed method for improved expected results. The advanced FPGA is very suitable for handling insulation detection failures in EVs.
All four conditions mentioned in the MATLAB Section 3 were practically tested using the Virtex 7 FPGA, and Xilinx Vivado software, and compared with the MATLAB results. The discussion included the improved FPGA results. Under CVCR conditions, the system’s error output ranged from −2 to +3, showing that the system improved as compared to MATLAB in Figure 7. Researchers have also observed similar experimental findings regarding the negative side of insulating resistance.
Under CVVR conditions, in Figure 8, the system’s error output was observed to range from −4 to 6, indicating an improvement compared to the MATLAB results. Analogous experiments were performed to measure the insulating resistance on the negative side. Compared to FPGA CVVR, the system is stable under a constant resistance and voltage.
In the VVCR conditions, the system’s error output, which is within the range of −8 to 9, shows improvement compared to the MATLAB simulations in Figure 9. Similar experimental outcomes have also been conducted on the negative side. This test condition demonstrates that the previously employed approach is stable compared with the FPGA results of VVCR.
In the VVVR condition, the system’s error output ranges from −9 to +10, indicating system improvement compared to the MATLAB results (Figure 10). This test scenario demonstrates that the previously employed technique is stable, and it collates with variable resistance and voltage conditions.

4. Conclusions

This paper looks into the insulation resistance of electric car battery packs, studies different insulation detection techniques, and designs and implements an adaptive RLS predictor in the hardware description language VHDL. The RLS was the most effective technique for adaptive filter implementation on FPGAs. The goal is to find an algorithm that can follow signals well, especially ones that change over time. FPGAs are well-suited for implementing RLS, due to their high-speed capabilities and register-rich architectures. In this paper, an FPGA-based detection model was developed, simulated, and compared to the existing literature results. In addition, the experimental results show that the proposed method can quickly track changes in insulation resistance. The output errors of the FPGA-based proposed method are much lower than the existing MATLAB results. The proposed FPGA method will be applied to heavy vehicles in future work.

Author Contributions

All authors have equal contributions in conceptualization, methodology, software, data curation, formal analysis, writing—review and editing, and writing—original draft. All authors have read and agreed to the published version of the manuscript.

Funding

This research received no external funding.

Informed Consent Statement

There are no human subjects in this manuscript and informed consent is not applicable.

Data Availability Statement

The data that support the findings of this study are available from the corresponding author upon reasonable request.

Acknowledgments

Thanks to Manipal Institution Technology Bengaluru, and their assistance for laboratory support and high-performing computers.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
EVElectric vehicle
RLSARecursive-least-squares algorithm
Li-ionLithium-ion
LMSLeast-mean-square
FPGAField programmable gate array
VHDLVery high-speed integrated circuit (VHSIC) hardware description language

References

  1. Aghabali, I.; Bauman, J.; Kollmeyer, P.J.; Wang, Y.; Bilgin, B.; Emadi, A. 800-V Electric Vehicle Powertrains: Review and Analysis of Benefits, Challenges, and Future Trends. IEEE Trans. Transp. Electrif. 2021, 7, 927–948. [Google Scholar] [CrossRef]
  2. Jung, C. Power Up with 800-V Systems: The benefits of upgrading voltage power for battery-electric passenger vehicles. IEEE Electrif. Mag. 2017, 5, 53–58. [Google Scholar] [CrossRef]
  3. Lian, Y.; Zeng, D.; Ye, S.; Zhao, B.; Wei, H. High-Voltage Safety Improvement Design for Electric Vehicle in Rear Impact. Automot. Innov. 2018, 1, 211–225. [Google Scholar] [CrossRef]
  4. Moghadam, D.E.; Herold, C.; Zbinden, R. Electrical Insulation at 800 V Electric Vehicles. In Proceedings of the 2020 International Symposium on Electrical Insulating Materials (ISEIM), Tokyo, Japan, 13–17 September 2020; pp. 115–119. [Google Scholar]
  5. Bukya, M.; Kumar, R.; Mathur, A. Analytical Computation of Thermal and Electrical Issues in E-Mobility Cabling Network. J. Adv. Res. Fluid Mech. Therm. Sci. 2023, 104, 84–92. [Google Scholar] [CrossRef]
  6. Voltage Classes for Electric Mobility Published by: ZVEI—German Electrical and Electronic Manufacturers’ Association Centre of Excellence Electric Mobility. Available online: www.zvei.org (accessed on 8 December 2013).
  7. Bukya, M.; Kumar, R.; Mathur, A. Numerical Investigation on Thermal and Electrical Stress in Electric Vehicle Cabling Network. J. Adv. Res. Fluid Mech. Therm. Sci. 2023, 102, 25–36. [Google Scholar] [CrossRef]
  8. Xu, Y.; Wang, H.; Li, J. Research on Online Insulation Testing of Power Battery of New Energy Vehicles. Int. J. Comput. Appl. Technol. Res. 2021, 10, 1–5. [Google Scholar] [CrossRef]
  9. Rybski, R.; Kaczmarek, J.; Kontorski, K. Impedance Comparison Using Unbalanced Bridge with Digital Sine Wave Voltage Sources. IEEE Trans. Instrum. Meas. 2015, 64, 3380–3386. [Google Scholar] [CrossRef]
  10. Tian, J.; Yin, J. Insulation fault monitoring of lithium-ion battery pack: Recursive least square with adaptive forgetting factor. J. Energy Storage 2023, 67, 107624. [Google Scholar] [CrossRef]
  11. Chen, Z.; Cui, W.; Cui, X.; Qiao, H.; Lu, H.; Qiu, N. A New Method of Insulation Detection on Electric Vehicles Based on a Variable Forgetting Factor Recursive Least Squares Algorithm. IEEE Access 2021, 9, 73590–73607. [Google Scholar] [CrossRef]
  12. Tian, J.; Wang, Y.; Yang, D.; Zhang, X.; Chen, Z. A real-time insulation detection method for battery packs used in electric vehicles. J. Power Sources 2018, 385, 1–9. [Google Scholar] [CrossRef]
  13. Bukya, M.; Kumar, R.; Mathur, A. Electrical Vehicles Insulation Detection Using Virtex 7 FPGA. In Innovations in Computational Intelligence and Computer Vision; ICICV 2022. Lecture Notes in Networks and Systems; Springer: Singapore, 2023; Volume 680. [Google Scholar] [CrossRef]
  14. Ma, S.; Jiang, M.; Tao, P.; Song, C.; Wu, J.; Wang, J.; Deng, T.; Shang, W. Temperature effect and thermal impact in lithium-ion batteries: A review. Prog. Nat. Sci. Mater. Int. 2018, 28, 653–666. [Google Scholar] [CrossRef]
  15. Xuezhe, W.; Lu, B.; Zechang, S. A Method of Insulation Failure Detection on Electric Vehicle Based on FPGA. In Proceedings of the IEEE Vehicle Power and Propulsion Conference (VPPC), Harbin, China, 3–5 September 2008. [Google Scholar]
  16. Wen, F.; Pei, W.; Li, Q.; Chu, Z.; Zhao, W.; Wu, S.; Zhang, X.; Han, C. Insulation Monitoring of Dynamic Wireless Charging Network Based on BP Neural Network. World Electr. Veh. J. 2021, 12, 129. [Google Scholar] [CrossRef]
  17. Shen, Y.; Liu, A.; Cui, G.; Ge, G. Yongpeng Shen, Design of Online Detection System for Insulation Resistance of Electric Vehicle Based on Unbalanced Bridge. In Proceedings of the 2019 IEEE PES Innovative Smart Grid Technologies Asia, Chengdu, China, 21–24 May 2019. [Google Scholar]
  18. Zhao, C.; Li, Q. Research on On-line Monitoring Methods of High Voltage Parameter in Electric Vehicles. World Electr. Veh. J. 2010, 4, 232–237. [Google Scholar] [CrossRef]
  19. Li, J.; Wu, Z.; Fan, Y.; Wang, Y.; Jiang, J. Research on Insulation Resistance On-Line Monitoring for Electric Vehicle. In Proceedings of the 2005 International Conference on Electrical Machines and Systems, Nanjing, China, 27–29 September 2005. [Google Scholar]
  20. Bi, L.; Wei, X.; Sun, Z. A High-voltage Safety Protection Method for Electric Vehicle Based on FPGA. In Proceedings of the 2006 IEEE International Conference on Vehicular Electronics and Safety, Harbin, China, 13–15 September 2006. [Google Scholar]
  21. Wu, Z.-J.; Wang, L.-F. A novel insulation resistance monitoring device for Hybrid Electric Vehicle. In Proceedings of the 2008 IEEE Vehicle Power and Propulsion Conference, Harbin, China, 3–5 September 2008; pp. 1–4. [Google Scholar] [CrossRef]
  22. Dai, Q.; Zhu, Z.; Huang, D.; Du, M.; Wei, K. Insulation Detection of Electric Vehicle Batteries. AIP Conf. Proc. 2018, 1971, 040021. [Google Scholar]
  23. Wang, Y.; Tian, J.; Chen, Z.; Liu, X. Model based insulation fault diagnosis for lithium-ion battery pack in electric vehicles. Measurement 2019, 131, 443–451. [Google Scholar] [CrossRef]
  24. Xin, Z.; Shouping, C. Study on insulation detection method of electric vehicles based on single point of failure model. In Proceedings of the 2016 11th International Forum on Strategic Technology (IFOST), Novosibirsk, Russia, 1–3 June 2016; pp. 191–194. [Google Scholar] [CrossRef]
  25. Chiang, Y.-H.; Sean, W.-Y. Adaptive Control for Estimating Insulation Resistance of High- Voltage Battery System in Electric Vehicles. In New Trends in Electrical Vehicle Powertrains; IntechOpen: London, UK, 2019. [Google Scholar] [CrossRef]
  26. Pei, X.; Hu, X.; Liu, W.; Chen, Z.; Yang, B. State Estimation of Vehicle’s Dynamic Stability Based on the Nonlinear Kalman Filter. Automot. Innov. 2018, 1, 281–289. [Google Scholar] [CrossRef]
  27. Pande, A.S.; Soni, B.P.; Bhadane, K.V. Electrical Models for EV’s Batteries: An Overview and Mathematical Design of RC Network. J. Inst. Eng. India Ser. B 2023, 104, 533–547. [Google Scholar] [CrossRef]
  28. Oh, H.; Jeon, J.; Park, S. Effects of Battery Model on the Accuracy of Battery SOC Estimation Using Extended Kalman Filter under Practical Vehicle Conditions Including Parasitic Current Leakage and Diffusion of Voltage. Int. J. Automot. Technol. 2021, 22, 1337–1346. [Google Scholar] [CrossRef]
  29. Cai, W.; Wu, X.; Zhou, M.; Liang, Y.; Wang, Y. Review and Development of Electric Motor Systems and Electric Powertrains for New Energy Vehicles. Automot. Innov. 2021, 4, 3–22. [Google Scholar] [CrossRef]
  30. Hu, J.; Wei, Z.; He, H. An Online Adaptive Internal Short Circuit Detection Method of Lithium-Ion Battery. Automot. Innov. 2021, 4, 93–102. [Google Scholar] [CrossRef]
  31. Yi, H.; Yang, S.; Zhou, S.; Zhou, X.; Yan, X.; Liu, X. An Innovative State-of-charge Estimation Method of Lithium-ion Battery Based on 5th-order Cubature Kalman Filter. Automot. Innov. 2021, 4, 448–458. [Google Scholar] [CrossRef]
  32. Zhang, L.; Ning, L.; Yang, X.; Zeng, S.; Yuan, T.; Li, G.; Ke, C.; Zhang, J. Half-Power Prediction and Its Application on the Energy Management Strategy for Fuel Cell City Bus. Automot. Innov. 2023, 6, 131–142. [Google Scholar] [CrossRef]
  33. Mekhfioui, M.; Elgouri, R.; Satif, A.; Moumouh, M.; Hlou, L. Implementation of Least Mean Square Algorithm Using Arduino & Simulink. Int. J. Sci. Technol. Res. 2020, 9, 664–667. [Google Scholar]
  34. Salah, M.; Zekry, A.-H.; Kamel, M. FPGA implementation of LMS adaptive filter. In Proceedings of the 2011 28th National Radio Science Conference (NRSC), Cairo, Egypt, 26–28 April 2011; pp. 1–8. [Google Scholar] [CrossRef]
  35. Godbole, S.S.; Palsodkar, P.M.; Raut, V.P. FPGA Implementation of Adaptive LMS Filter. Proc.-Spit-IEEE Colloq. Int. Conf. 2011, 2, 226–229. [Google Scholar]
  36. Zhang, X.; Yang, S.; Liu, Y.; Zhao, W. Improved Variable Step Size Least Mean Square Algorithm for Pipeline Noise. Sci. Program. 2022, 2022, 3294674. [Google Scholar] [CrossRef]
  37. Peters, S.D.; Antoniou, A. A parallel adaptation algorithm for recursive-least-squares adaptive filters in nonstationary environments. IEEE Trans. Signal Process. 1995, 43, 2484–2495. [Google Scholar] [CrossRef]
  38. Moon, T.K.; Stirling, W.C. Mathematical Methods and Algorithms for Signal Processing; Prentice Hall: Upper Saddle River, NJ, USA, 2000. [Google Scholar]
  39. Diniz, P.S.R. The Least-Mean-Square (LMS) Algorithm. In Adaptive Filtering. The Springer International Series in Engineering and Computer Science; Springer: Boston, MA, USA, 1997; Volume 399. [Google Scholar] [CrossRef]
  40. Zhuang, W. RLS Algorithm with Variable Fogetting Factor for Decision Feedback Equalizer over Time-Variant Fading Channels. Wirel. Pers. Commun. 1998, 8, 15–29. [Google Scholar] [CrossRef]
Figure 1. Simplified battery circuit model.
Figure 1. Simplified battery circuit model.
Wevj 15 00025 g001
Figure 2. Insulation Resistance Error under CR and CV conditions.
Figure 2. Insulation Resistance Error under CR and CV conditions.
Wevj 15 00025 g002
Figure 3. Insulation Resistance Error under CV and VR conditions.
Figure 3. Insulation Resistance Error under CV and VR conditions.
Wevj 15 00025 g003
Figure 4. Insulation Resistance Error under VV and CR conditions.
Figure 4. Insulation Resistance Error under VV and CR conditions.
Wevj 15 00025 g004
Figure 5. Insulation Resistance Error under VR and VV conditions.
Figure 5. Insulation Resistance Error under VR and VV conditions.
Wevj 15 00025 g005
Figure 6. Experimental setup of electric vehicle and insulation detection test.
Figure 6. Experimental setup of electric vehicle and insulation detection test.
Wevj 15 00025 g006
Figure 7. FPGA Hardware Simulation under CR and CV conditions.
Figure 7. FPGA Hardware Simulation under CR and CV conditions.
Wevj 15 00025 g007
Figure 8. FPGA Hardware Simulation under CV and VR conditions.
Figure 8. FPGA Hardware Simulation under CV and VR conditions.
Wevj 15 00025 g008
Figure 9. FPGA Hardware Simulation under VV and CR conditions.
Figure 9. FPGA Hardware Simulation under VV and CR conditions.
Wevj 15 00025 g009
Figure 10. FPGA Hardware Simulation under VV and VR conditions.
Figure 10. FPGA Hardware Simulation under VV and VR conditions.
Wevj 15 00025 g010
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Bukya, M.; Malthesh, S.; Kumar, R.; Mathur, A. Insulation Detection of Electric Vehicles by Using FPGA-Based Recursive-Least-Squares Algorithm. World Electr. Veh. J. 2024, 15, 25. https://doi.org/10.3390/wevj15010025

AMA Style

Bukya M, Malthesh S, Kumar R, Mathur A. Insulation Detection of Electric Vehicles by Using FPGA-Based Recursive-Least-Squares Algorithm. World Electric Vehicle Journal. 2024; 15(1):25. https://doi.org/10.3390/wevj15010025

Chicago/Turabian Style

Bukya, Mahipal, Shwetha Malthesh, Rajesh Kumar, and Akhilesh Mathur. 2024. "Insulation Detection of Electric Vehicles by Using FPGA-Based Recursive-Least-Squares Algorithm" World Electric Vehicle Journal 15, no. 1: 25. https://doi.org/10.3390/wevj15010025

Article Metrics

Back to TopTop