Next Article in Journal
Pressure-Dependent Crystal Radii
Previous Article in Journal
Phase Transition Field Effect Transistor Observed in an α-(BEDT-TTF)2I3 Single Crystal
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Wet Chemical and Plasma Etching of Photosensitive Glass

1
Group of Inorganic–Nonmetallic Materials, Institute of Materials Engineering, Technische Universität Ilmenau, D-98684 Ilmenau, Germany
2
Microsystems Technology Group, Institute of Micro- and Nanotechnologies, Technische Universität Ilmenau, D-98684 Ilmenau, Germany
*
Author to whom correspondence should be addressed.
Solids 2023, 4(3), 213-234; https://doi.org/10.3390/solids4030014
Submission received: 30 May 2023 / Revised: 29 June 2023 / Accepted: 4 July 2023 / Published: 21 August 2023

Abstract

:
Photosensitive glasses for radiation-induced 3D microstructuring, due to their optical transparency and thermal, mechanical, and chemical resistance, enable the use of new strategies for numerous microscale applications, ranging from optics to biomedical systems. In this context, we investigated the plasma etching of photosensitive glasses after their exposure and compared it to the established wet chemical etching method, which offers new degrees of freedom in microstructuring control and microsystem fabrication. A CF4/H2 etching gas mixture with a constant volumetric flow of 30 sccm and a variable H2 concentration from 0% to 40% was utilized for plasma-based etching, while for wet chemical etching, diluted hydrofluoric acid (1% ≤ cHF ≤ 20%) was used. Therefore, both etching processes are based on a chemical etching attack involving fluorine ions. A key result is the observed reversion of the etch selectivity between the initial glassy and partially crystallized parts that evolve after UV exposure and thermal treatment. The crystallized parts were found to be 27 times more soluble than the unexposed glass parts during wet chemical etching. During the plasma etching process, the glassy components dissolve approximately 2.5 times faster than the partially crystalline components. Unlike wet chemical etching, the surfaces of plasma etched photostructured samples showed cone- and truncated-cone-shaped topographies, which supposedly resulted from self-masking effects during plasma etching, as well as a distinct physical contribution from the plasma etching process. The influences of various water species on the etching behaviors of the homogeneous glass and partially crystallized material are discussed based on FTIR-ATR and in relation to the respective etch rates and SNMS measurements.

1. Introduction

Photosensitive glasses are intriguing materials for the manufacture of microsystems due to their optical transparency, high chemical resistance, durability at high temperatures, and high mechanical strength, which is adjustable through microstructure modifications [1]. In particular, their radiation-induced 3D microstructuring ability [2,3] and biocompatible properties enable several microfluidic chamber concepts, which have partially movable parts [4,5]. Further applications in the field of micro-optics arise from the possibility of using nucleation and crystal growth processes for the defined local adjustment of optical absorption and transmission, as well as the refractive index, e.g., for volume diaphragms or photonic crystal spatial filters [6,7,8,9,10].
The common structuring process of microsystem components in photosensitive glasses is called the photo form process, as illustrated in Figure 1, which consists of three principal steps: Firstly, the UV exposure of suitably masked substrates mainly generates photoelectrons via the interaction of radiation with Ce3+ ions. Secondly, thermal treatment leads to controlled volume crystallization based on heterogeneous Ag±0 nucleation initiated via UV exposure. Thirdly, wet chemical etching using diluted hydrofluoric acid enables the selective removal of the partially crystallized areas [1,11].
The etch selectivity between partially crystallized and unexposed glass areas is important for carrying out geometric shaping with micrometer dimensions. The variability is determined based on the achievable aspect ratios (ratio of the width to depth of an etched trench) and the topography of etched surfaces. Both features are influenced via the phase separation of photosensitive glass during thermal treatment. The partially crystallized areas in the photosensitive glass, as examined in this paper, contain lithium metasilicate (LMS, Li2O·SiO2) in a crystalline phase [12]. Individual crystals are dendritic in nature and are distributed irregularly and in a cross-linked manner in a glass matrix, as shown in Figure 2. The number of crystals and their average size are dependent on the parameters of the UV exposure and thermal treatment. Crystallization does not take place in the unexposed glass volume using the same thermal treatment. A change in the chemical composition compared to the volume due to the mechanochemical polishing of the substrate surface can usually induce, to a certain extent, inhomogeneously distributed surface crystallization in unexposed areas. The affected surface region is about 1 µm in depth. Furthermore, the chemical composition of the residual glass matrix surrounding the single LMS crystals is altered compared to the composition of the unexposed glass. The change essentially consists of depletions in Li2O and SiO2, which are implemented via the LMS crystals.
LMS is notably more soluble in diluted hydrofluoric acid than the glass, and therefore, high aspect ratios of 1:25 to 1:35 were achieved during the microstructuring of photosensitive glass [11,12,13]. This leads to angular deviations of approx. 2.5° from the wafer surface normal. It remains an open question as to whether etching processes can be optimized to achieve perpendicular sidewalls in microstructured photosensitive glasses. It is assumed that the residual glass between the interlocked LMS crystals in the exposed zones just detaches during etching. Investigations of subsurface channels, induced by localized ultrashort laser pulses, have focused on the subsurface instead of using mask-based UV light exposure and showed that the etch rate ratio is not constant over the entire etching period. We recently reported an increased etch rate ratio of 1:45 in a near-surface region at channel depths of between 26 µm and 70 µm [14]. In summary, the etch selectivity for wet chemical etching is subject to the following influences: (i) altered etching conditions at the surface, (ii) etchant exchange inside the capillary during the ultrasonic-supported etching process, and (iii) the crystal size and the crosslinking of the crystals [12,14]. The dissolution processes at the molecular level have not been considered so far, and in particular, the effect of water species on the etching processes for photosensitive glasses is still insufficiently described. In this study, we therefore examined the impact of water species in more detail. In this regard, we made a comparison between wet chemical and plasma-based dry chemical etching processes.
The advantage of the plasma-based etching process is the possibility of fabricating suspended glass ceramic structures in a glass matrix, as is required for various micromechanical and optical applications. Furthermore, there is a need for an improved understanding of dry etching processes in silicate glasses and glass ceramics with the aim being to extend the range of production processes for these materials in microsystems technology. The aim was, therefore, to yield an improved understanding of the etching attack with respect to the glass and the partially crystallized areas and, simultaneously, to extend the current model for etching in photosensitive glass. A key result, in this regard, is the observed reversal of the etch selectivity between the glass phase and the LMS phase (Figure 1), which is discussed in the following text in further detail.

2. Materials and Methods

We used in-house-developed photosensitive glass samples with a diameter of 100 mm and a thickness of 500 µm. The chemical composition (mol%) was 69.5 SiO2-22.0 Li2O-2.3 Na2O-2.3 K2O-3.9 Al2O3, and the required photosensitivity was achieved by additional doping (mol%) with 0.2 Ag2O, 0.3 Sb2O3, 0.1 SnO, and 0.04 CeO2, as published elsewhere in detail [14]. The masked UV exposure was realized using a mercury short-arc lamp (HBO®, OSRAM GmbH, Augsburg, Germany) as the UV illumination source, which was installed in a mask aligner (MA 56, KARL SÜSS KG-GmbH & Co., Garching, Germany). Line patterns with line widths of 50 µm to 500 µm were exposed with an energy density of 60 J/cm2. The glass crystallization of the exposed areas was achieved through a single-step thermal treatment at 570 °C for 1 h.
Wet chemical etching was conducted at 22 °C with ultrasonication support using hydrofluoric acid with concentrations cHF of between 1 vol% and 20 vol% and a constant etching time of 5 min for cHF > 1 vol% and 15 min for cHF = 1 vol%.
Plasma etching experiments were carried out in an inductively coupled plasma reactor (ICP-RIE SI 500, SENTECH Instruments GmbH, Berlin, Germany) equipped with an ICP source of 500 W. All experiments were carried out under constant process conditions with respect to a bias voltage of −470 V, a working pressure of 0.5 Pa, an etching time of 1 h, and a temperature of 25 °C. A mixture of CF4 and H2 was used as the etching gas and was introduced to the reaction chamber at a constant volumetric flow rate of 30 sccm. The concentration of H2 in the gas mixture varied from 0% to 40%.
The surface morphology of the etched samples was investigated using scanning electron microscopy (SEM S-4800, Hitachi High-Tech Corporation, Tokyo, Japan). Surface charge dissipation was prevented using an evaporated, ultrathin Au layer on the sample surfaces. The determination of the achieved etching depths (using focus levels) and widths of the created trenches was conducted by optical microscopy (Axiotech 100 HD, Carl Zeiss Microscopy, Jena, Germany). Atomic force microscopy (AFM Dimension Edge, Bruker, Santa Barbara, CA, USA) was used in peak force tapping mode for surface topography investigations.
Fourier transform infrared spectroscopy with attenuated total reflection (FTIR-ATR Nicolet is5-ATR, Thermofisher Thermoscientific, Waltham, MA, USA) was carried out to determine the infrared absorption bands in relation to the utilized etching conditions. Because processes like thermally induced glass crystallization and wet as well as plasma etching lead to increased surface roughness. The intensity of the peaks may be affected, making quantitative assessments challenging. IR reflectance spectra were collected with a 1.8 mm aperture from glass chips with a large area etched, 10 × 10 mm2 in size.

3. Results and Interpretation

3.1. Etch Rate Ratios

Etch rates r were calculated by measuring the time-dependent widths and depths of formed trenches after localized UV exposure and etching. The etch rate ratio R was calculated as the ratio of the higher etch rate to the lower etch rate. For wet chemical etching, this yielded Rwet = rGC/rG, and for plasma etching, it yielded Rpla = rG/rGC, were GC represents partially crystallized, and G represents glass.
Figure 3 summarizes the results for wet chemical etching with respect to Rwet and r and shows the dependence of r on the concentration of hydrofluoric acid cHF (1% to 20%). Figure 4 summarizes, in the same manner, the plasma etching results with respect to Rpla and r and the dependence of r on the H2 content admixed to the etch gas mixture CF4/H2 (0% to 40%).
Wet chemical etching showed a higher rGC value compared to plasma etching and comparable rG values for HF concentrations < 10 vol% (see Figure 3). Based on the different nonlinear concentration dependencies of rC and rGC, a maximum etch rate ratio of Rwet = 27 at a HF concentration of 10 vol% was obtained during wet chemical etching. It is assumed that increasing the hydrofluoric acid concentration to cHF > 20% leads to an alignment of the etch rates of G and GC and thus to a minimization of the etch rate ratio Rwet. Tests showed that due to the strongly fluctuating etch depth determinations, the error liability of the rGC determination increased strongly, making a quantitative evaluation for cHF > 20% difficult. This means that the chemical stability of the glass phase has a decisive influence on achievable etch rate ratios for the wet chemical etching process.
Plasma etching showed a constant etch rate rGC of about 0.2 µm/min, which was lower than the etch rate of the glass parts as well as almost independent of the H2 concentration in the etch gas mixture. We observed a maximum etch rate of about 0.6 µm/min for unexposed glass parts at 30% H2. Due to the comparatively small difference between rG and rGC, and considering the error propagation, no maximum value for Rpla could be determined. The average value of Rpla is 2.5. Compared to wet chemical etching, a reversal of the etch selectivity regarding G and GC was observed.

3.2. Surface Topography

The topographies of the wet chemical and plasma etched surfaces differed significantly, as shown in Figure 5.

3.2.1. Topography Resulting from Wet Chemical Etching

The topography of the unexposed glass areas consists of irregularly distributed pits and mainly evolves due to the prevalently isotropic etching attack. Topographic evolution is influenced by the following:
  • Chemical inhomogeneities, surface tension, and eventual microcracks resulting from the polishing procedure;
  • A very small amount of near-surface LMS crystals; stoichiometric imbalances can also induce nucleation and LMS growth on unexposed glass surfaces, but only in very small amounts;
  • Deposition of various particles during the etching process; they are mostly fine glass chips coming from the residual glass phase in the crystallized part.
Depending on the etching time, (i) a typical wavy pattern can be observed due to the chemical etching attack over the microcrack surface, and (ii) the dissolution of the crystals combined with the overetching of the remaining crystal imprint in the residual glass and (iii) a masking effect of minute particles remaining on the glass surface can be observed. The average roughness Ra was about 500 nm. In comparison, the topography of the sidewall perpendicular to the original surface appears to be more inhomogeneous. The etch pits at the sidewall mainly result from the microstructures of the partially crystallized parts. Assuming that Li2O is completely incorporated into the LMS, a maximum of 22 mol% LMS can be formed there. The significantly higher solubility of the LMS crystals leads to the aforementioned high etch rate ratio for the wet chemical etching process and is also the reason for the anisotropy in the geometrically microstructuring procedure. Characteristically, the dendritic LMS crystals are closely interlocked in a glass matrix and leave a geometric imprint typical for shape and size as mentioned above (Figure 2). The overetching of these imprints, determined by the glass etching time, also yields a wave pattern on the sidewalls, which is typical for the isotropic etching of the residual glass phase. However, with an extended etching time, the etching progress perpendicular to the substrate surface increases (Figure 6). That means that the evolving sidewall topography in photostructured glass (Figure 5b) depends on the etching time.
The resulting dependence of the normalized effective sidewall surface on the etch depth, which is linked to the etching time, is exemplarily shown in Figure 7 for the sidewall of a trench in photostructured glass.
The maximum value of the normalized effective sidewall surface was determined by starting from the exposed top side at a location approximately 100 µm off-center to the substrate thickness. This is exposure-process-related and can be attributed to a decrease in the number of crystals in the crystallized parts with an increasing depth induced by the UV irradiation, as discussed in [14]. Consequently, the influence of the glass solubility in diluted hydrofluoric acid increases and a slightly lower etch rate rGC is established, starting from the bottom of the exposure. This effect is particularly relevant for substrate thicknesses > 500 µm and is related to the absorption behavior of the photosensitive glass. During UV exposure, the absorption of the radiation prevents a homogeneous energy density distribution down to great depths (>500 µm). An increase in the intensity or irradiation time leads only to a slightly increased interaction at greater depths. Instead, a so-called overexposure effect is observed. This is caused by nonlinear optical effects that occur at high intensities. The superposition principle and the conservation of frequency no longer apply at this point. It is assumed that due to the interaction of UV radiation with the glass, light waves influence each other and propagate in an undirected manner into areas of the glass that are actually unexposed. For the microstructuring process, this means a loss in contrast or a reduction in shape accuracy. In this respect, an optimization problem exists for the UV exposure. Conceivable measures for a more homogeneous depth exposure would be, for example, two-sided exposure (adjustment effort) or 3D direct-writing laser exposure.
Based on Figure 5c, the porous character of the etched surface in partially crystallized parts is evident. It mainly consists of the residual glass matrix where single LMS crystals are dissolved. LMS has a density of 2.52 g/cm3. The density of the photosensitive glass is 2.37 g/cm3. Due to the increase in the density of the partially crystallized parts, the residual glass matrix is under increased mechanical stress. Therefore, it might be possible for mechanical vibrations of filigree residual glass from ultrasonication to promote crack formation, causing the smallest glass particles to break out, as shown in Figure 5a. If these small particles are not effectively removed, they might undergo self-masking at the surface during the continued chemical attack.

3.2.2. Topography of Plasma Etched Surfaces

Plasma etched surfaces of photostructured glass show an irregular arrangement of cones on partially crystallized parts and truncated cones on unexposed glass parts, as shown in Figure 5d–f. The sidewall of the etched recess is formed as a stepped transition, as shown in Figure 5e. We measured the cone diameter at their base, yielding values in the range of 0.1 µm to 1 µm. However, the cone angle was constant at about 70°. It should be noted that the topography is not typical for an isotropic etching process. It is, rather, the result of the complex interaction of a plasma etching process with chemical and physical components and the complex material structure.

3.3. Fourier Transform Infrared Spectroscopy (FTIR-ATR)

The molecular structure of the photosensitive glass and its changes after processing were investigated by infrared spectroscopy. The interpretation is challenging due to the complexity of the present material composition. Fused silica, alkaline earth alkaline glasses, lithium alumosilicate glasses, and glass ceramics were used as reference materials [15,16,17,18,19,20,21,22,23]. The spectra shown in Figure 8, Figure 9 and Figure 10 can be divided in two regions: the first region, from 4000 cm−1 to 1250 cm−1, corresponds to the O-H stretching and bending modes mainly of the surfaces near to structural groups. The second region from 1250 cm−1 to 450 cm−1 is called the “finger print” range because the silicate network itself, with its modifications regarding the type and concentration of further cations (alkali, Li, Na, K; and dopants, Ag, Ce, Sn, Sb) or Al2O3 and phase separation, lead to numerous overlapping areas but specific absorptions. The reasons for this are changes in bond energies and bond angles followed by changes in the polymerization states of the network. Table 1 provides an overview of the peak positions related to possible structural groups in unexposed glass (G) and crystallized parts (GC).
Figure 8 shows the FTIR spectra of unexposed glass (G) and crystallized parts (GC), both of which are nonetched. G and GC both show a broad band with a very low intensity in the range of >2100 cm−1 and a second band between 1250 cm−1 and 1945 cm−1. The band of the GC consists of two small peaks at 1670 cm−1 and 1390 cm−1. These bands are interpreted as being mainly caused by surface structure differences in the glass and crystallized parts. Uchino et al. distinguished, for instance, different water species within the glass structure, depending on the water content and the chemical environment. Accordingly, in G, the band positions at 3360 cm−1 (O-H stretching) and 1670 cm−1 (O-H bending) can be assigned to molecular water of type 1 (HOH(I)) [15]. This is predominant on surfaces of glass with a low water content. It is characteristic of H2O molecules that interact with Si-OH groups and have only a small influence on the silicate network. In glasses with a high water content, the Si-O bonds will be weakened by HOH(I). In comparison, the GC shows an additional peak at 1390 cm−1. This new peak in the O-H bending band region is remarkable and is caused by glass crystallization after UV exposure and subsequent thermal treatment. An additional corresponding peak in the O-H stretching region cannot be observed, which is therefore a subject for further research.
Furthermore, G shows three main bands at wave numbers < 1250 cm−1 with distinct peaks at 895 cm−1, 760 cm−1 and below 630 cm−1. The asymmetry of the first band is caused by the superposition with two other bands in the short-wavelength edge region at 1150 cm−1 and 1028 cm−1. Due to the complex glass composition, different structural groups can be considered, as shown in Table 1. The peak positions essentially correspond to the asymmetric and symmetric stretching bands of the [SiO4] tetrahedral network. The asymmetric peak broadenings indicate a general disorder of the complex silicate network of unexposed glass, caused by the broad distribution of Qn-groups. This leads to couplings of vibration bands of the following types: asymmetric stretching vibrations of Si-O-Si coupled with SiOH, Al3+, and Na+ (Li+, K+) [15,21,22]. For wave numbers < 630 cm−1, wagging and translation vibrations are discussed in the context of OH species [15]. Thus far, the role of Al3+ is unclear. An alumina/alkali oxide mol ratio of about 0.14 enables, in principle, [AlO4] tetrahedra coordination, during which Al2O3 network formation occurs [24]. Following ref. [19], the corresponding band is formed in the 800–600 cm−1 range, which superimposes in the present case with other peaks and, thus, contributes to the asymmetric peak broadenings.
The spectrum of the GC is characterized by the splitting of the fingerprint region into two or more sharp peaks. They can be assigned to the LMS phase, as shown in Table 1. Between 1150 cm−1 and 604 cm−1, the peaks can be attributed to asymmetric and symmetric stretching vibrations of the [SiO4] tetrahedra in LMS with a varying number of bridging oxygens [23]. The peak at 784 cm−1 is attributed to [AlO4] tetrahedra, which are situated in the residual glass phase [19]. This seems plausible, since a high proportion of [AlO4] tetrahedral groups in unexposed glass contribute to asymmetric peak broadening. The GC shows, in this regard, a reduced intensity. Due to the further influence of the asymmetric and symmetric stretching of [SiO4] tetrahedra with a variable number of NBOs (coupled with Si-OH/Al3+/Li+, Na+, K+), this peak also appears to be less sharp compared to the other newly formed peaks of the partially crystallized part and is, therefore, assigned to the residual glass phase in the GC.
Since the net composition of the studied material does not change following UV irradiation and thermal treatment, the distinct changes are due to structural rearrangement processes. An important aspect is that changes in the oxidation states of polyvalent elements (Ce3+/Ce4+, Sn2+/Sn4+, Sb3+/Sb5+, and Ag+/Ag0) and ion diffusion during glass crystallization can yield a significant increase in the inhomogeneity of the microstructure. The microstructure of the partially crystallized part is characterized by dendritic LMS crystals that are closely interlocked and randomly arranged in a glass matrix, as mentioned before. It can be further assumed that the chemical composition of the residual glass phase around the crystals changes gradually, starting from the interface to a crystal. For example, the enrichment of LiO2 in the LMS phase changes the alumina/alkali oxide ratio in the residual glass to a maximum of 0.85 under the theoretical assumption that Li+ ions completely transfer to the LMS phase. That means that a coordination change in alumina from [AlO4] tetrahedra to [AlO6] octahedra is unlikely. The absorption band of [AlO6] octahedra would be expected to be in the range of 550 cm−1 to 570 cm−1 [18,22], which is not visible in the GC. The peaks at 722 cm−1 and 604 cm−1 could be attributed to symmetric stretching vibrations of [SiO4] tetrahedra connected via bridging oxygens. A Q2 linkage in LMS exists. The absorption band at 512 cm−1 corresponds to Si-O deformation vibrations, and a wave number of 420 cm−1 is assigned to the bending vibrations of the [SiO4] tetrahedra.
Figure 9 shows the FTIR spectra of unetched G and GC compared to the state after wet chemical etching. In the case of unexposed glass, we observed significantly increased IR absorption at 3360 cm−1 after etching, which is related to an increased content of the molecular water HOH(I) stretching vibration. The bending vibration, located at 1670 cm−1, seems to be hardly affected. In the fingerprint region, an IR absorption increase at 760 cm−1 seems to be present and corresponds to an increase in the asymmetric stretching vibration involving [AlO4] tetrahedra. However, wet chemical etching using water-diluted hydrofluoric acid leads to the entry of molecular water into the glass surface and, thus, to the weakening of the silicate network structure. Al2O3, in its network-stabilizing form, appears to limit the chemical dissolution process of the glass phase [24]. In contrast to this, the entry rate of molecular water into the crystallized parts is very low. The significantly lower absorption at 3360 cm−1 could refer to the low solubility of molecular water in LMS crystals. A small amount of molecular water concentrates in the residual glass phase around the crystals. We observed no significant changes regarding peak positions in the spectral range < 1250 cm−1, but the peak at 784 cm−1 weakly decreased in terms of the crystallized part, which we relate to the influence of [AlO4] tetrahedra and NBOs on the asymmetric and symmetric stretching vibrations. This indicates the reduced content of the residual glass phase at the etched surface.
While plasma etching does not cause changes in the infrared spectra of G, GC exhibits a dependence on the etch gas mixture. Figure 10 shows the IR spectra of plasma etched GC with varied H2 concentrations in the CF4/H2 etch gas mixture. No changes in the peak positions were observed compared to the unetched GC up to a H2 content of 30%. At 30% H2, the peak at 1390 cm−1 (bending band of HOH(I)) increased weakly, but no effect was observed in the range of the HOH(I) stretching band. However, the IR spectrum of GC etched with a H2 content of 40% differed strongly for wave numbers larger than 1250 cm−1 compared to those with lower H2 concentrations. The peak intensities of peaks related to the LMS phase were clearly reduced in height but broadened. This indicates a pronounced increase in disorder in dry etched GC.
The reason for this change in the GC microstructure is due to changes in the chemical composition of the CF4/H2 plasma. It is assumed that the chemical attack of this plasma results from F* and HF species, formed by CF4 ⟶ CF4−n + nF* and CF4 + nH2 ⟶ CF4−2n + 2nHF.
Knizikevičius et al. [25] determined the chemical composition of a comparable plasma composition. They reported H2 contents of greater than 30%, overall increases in the contents of H and H2, as well as decreased contents of etching active species in the forms of CFx (x = 2,3,4), F*, and HF in the corresponding plasma mixture. This would yield a decrease in chemical etching attacks and the increased incorporation of OH species onto the GC surface. Compared to the unetched GC, we found a weak band at 3360 cm−1, which is related to OH stretching. The shift in the band from 784 cm−1 to 769 cm−1 is presumably related to this. Furthermore, we detected an additional peak at 642 cm−1 with a H2 content of 40%. This peak is correlated with significant growth of a polymer layer containing CF2 and CF groups at the GC surface [26].

3.4. Secondary Neutral Particle Mass Spectrometry (SNMS)

In addition, SNMS was used for the analysis of a plasma etched GC with a hydrogen content of a 20% in the gas mixture (Figure 11) in comparison to untreated or wet chemical etched glass and partially crystallized samples (Figure 12). SNMS was used to analyze neutrals sputtered from the surface, while ions and molecular species that form functionally negatively charged ions were less likely to be detected.
Figure 11 evidently shows that the main constituents of the crystallized parts, Si, Li, Na, K, and O, are present from the surface to a depth of 2 µm with a constant distribution profile. For aluminum, a slight surface enrichment compared to the volume can be observed with a very thin layer with a maximum size of 10 nm. A striking feature is an increased concentration of carbon down to a depth of 300 nm. This increase corresponds to an increase in SiF compounds. The proportion is very small (<1 at%), but it is significant compared to the volume. This is most likely related to the formation of polymer groups at the surface that allow for the reaction of fluorine with silicate compounds of the crystallized parts. The signal of OH is negligibly small and is therefore not shown here and does not allow for any reliable conclusions to be drawn.
Figure 12 shows the calculation of the oxide concentrations relative to the sum of the main glass components for a sputter depth of 1500 nm, at which all concentrations are constant.
The relative concentrations of Al2O3, Na2O, and K2O are constant and show no changes dependent on the state of the sample treatment (partially crystallized or not, etched or not). Unetched G and GC show fully comparable chemical compositions. This confirms the assumption that a glass crystallization process does not lead to any changes in the netto composition of the photostructurable glass. If unexposed glass G is wet chemical etched in diluted hydrofluoric acid, the netto glass composition is also not changed. Wet chemical etching leads to a uniform and isotropic glass dissolution. All three samples (G, GC, and G (wet)) show a maintained stoichiometric ratio of Li2O:SiO2 = 1. A decrease in this ratio to 0.63 was determined for partially crystallized parts after wet chemical etching with porous surface characteristics (GC (wet), Figure 5c), consisting of a Li2O impoverished residual glass phase. The reduced Li2O content is attributed to the dissolved LMS crystals. The same reduced Li2O:SiO2 ratio of 0.63 was determined for partially crystallized parts after plasma etching. That means that the plasma etched GC surface is comparable to a wet chemical etched surface regarding the chemical composition. The cone-like surface topography is also the result of LMS dissolution and the remaining residual glass phase on the surface.

4. Discussion of Current Knowledge on Etching Mechanisms in Photosensitive Glass

The wet chemical etching of silicate glasses with diluted hydrofluoric acid has been well-studied considering various application aspects. Spierings [27] justified the faster increase in the etch rate for cHF > 10 vol% by the assumption that more polymeric HnFn+1 ions are present in the etchant. They should be more reactive towards the siloxane bonds. Knotter [28] assumed that the fluorine-ion-based dissolution process in the silicate network is preceded by hydration combined with the incorporation of water species. We assume that these models of the chemically induced dissolution of silicate networks are also valid for photosensitive LAS glass. The process is largely determined by the dissolution behavior of the glass phase in unexposed areas as well as in the partially crystallized parts, where about 78 mol% is the glassy phase. Using FTIR-ATR measurements, we found that wet chemical etching leads to the distinct incorporation of water in G compared to GC, see Figure 9.
Due to the high SiO2 content (69.5 mol%), network dissolution is driven by the formation of water-soluble hexaflurosilicic acid. Table 2 gives an overview of possible compounds and their solubility levels in water and hydrofluoric acid. Fluorine species are formed with the participation of other components of the photosensitive glass, which are readily soluble in water or are transported away from the surface as nonsoluble reaction products by ultrasound. Poorly soluble reaction products in water are LiF, Na2SiF6, AlF3, and Na3AlF6. The proportion of reactive Al2O3 is non-negligible. It is well known that alumina is not significantly attacked by HF. In the presence of alkalis, poorly soluble complex salts of the compositions M(AlF4), M2(AlF5), and M3(AlF6) can also be formed. The dopants Ag2O, CeO2, SnO, and Sb2O3 also partly form poorly soluble compounds with the participation of fluorine playing a negligible role due to its very low concentration.
In the case of LMS, there have been hardly any studies on the etching attack. In photosensitive glass, orthorhombic LMS crystals exhibit a dendritic crystal habit [14]. According to the stoichiometric ratios of LMS (Li2O·SiO2), each [SiO4] tetrahedron is corner-connected to two other tetrahedra and has two nonbridging oxygen corners with lithium ions. Furthermore, LMS is linked exclusively via Q2 groups, in contrast to photosensitive glass matrixes with a variety of Qn (n = 2 … 4) groups. This results in a chain-like silicate with a lower degree of crosslinking compared to the glass phase [32]. We assume that this is an important point for the chemical attack of the LMS structure (see Figure 13).
In the presence of water (mainly coming from the etch solution), the O-Li bonds will first be broken by hydration. OH- groups are formed by the ion exchange of Li+ and H+ (1). A similar reaction mechanism takes place subsequently, as occurs in the etching of a silicate network in diluted hydrofluoric acid. Depending on the pH value, a splitting off of OH- groups (2) or H2O (3) can occur. The fluoridation of silicate chains (4) and bond breakage take place further in the course of the reaction. The bond breakage occurs simultaneously at two sites due to the exclusive Q2 linkages. Consequently, the reaction progress is much faster than in the case of a broad distribution of Qn groups. Nevertheless, the GC etch rate is larger than that of G for the same supply of fluorine ions. We conclude that the lower degree of crosslinking in GC combined with the water provided by the etching solution is rate-determining for the etch removal. It appears that the lower etch rate in G is caused by a higher degree of crosslinking and, in particular, by the stabilizing effect of Al2O3 in a fourfold coordination.
Furthermore, the dissolution of the crystallized parts is influenced by submicroscopic cracks. These cracks appear during annealing treatment as a result of tensile stresses at different expansion coefficients of the glass phase and crystal phase and due to leaching during the etching process itself [27,33,34]. According to this, microcracks support the etching process of the glass phase by allowing dilute hydrofluoric acid to enter the crack tips, thereby significantly supporting crack growth by stress corrosion and ultimately leading to the etching progress.
Finally, due to the random penetration of the dendritic LMS crystals supported by mechanical stresses in the residual glass phase surrounding the crystals, the smallest filigree particles break out continuously at the etching front. In some cases, these particles are deposited on surfaces next to poorly soluble reaction products due to their positions and thus have a local masking effect on the etching attack. This is particularly evident in the transition area of glass—the partially crystallized parts (see Figure 14).
Reactive ion etching (RIE) is characterized by chemical as well as physical attacks. A characteristic of the etching attack is that chemically induced bond breakage is preceded by impact-energy-based activation by reactive neutral particles or ions. While chemical etching attacks are isotropic in nature, physical attacks involve the weakening of the chemical bonds for preferred chemical removal as well as surface ablation in the form of sputtering, which introduces an anisotropic component to the overall process. Furthermore, the formation of a fluorocarbon polymer layer is process-specific when using CF4/H2 etch gas mixtures. Nonvolatile reaction products and particles of nanometer size can cause masking effects. The removal of loosely adhering layers and particles from the surface is more difficult in a plasma-based etching process due to the absence of relative motion of the etchant and substrate.
Ye et al. [35] stated that coating formation and chemical attacks are in competition with each other. If the formation of layers or deposits predominates, rough surfaces will result. Peaks and valleys are formed [35]. The effect is also intensified by the fact that gas phase deposition preferentially starts at peaks. Since the polymer layer is consumed by chemical reactions, the thickness of the surface polymer layer is linked to the ion energy. A low level of physical energy fosters the growth of thick polymer films, which leads to a decrease in the etching rate or even to an etch stop (as can be seen in high aspect ratio etching). A tailored physical impact results in an equilibrium between polymer growth and removal by chemical reactions. Here, the thin surface polymer layer provides reactants for the chemical reactions near the surface. Furthermore, the impacting ions can penetrate this thin layer and induce chemical reactions to form volatiles as well as nonvolatiles for complex material compositions, such as those used here [36]. Nonvolatile reaction products reach a sufficiently high vapor pressure only at very high temperatures, which are normally above the glass transition temperature, even in low-pressure plasmas. Thus, physical removal by (reactive) sputtering with tailored ion energy is needed to avoid accumulation and to enable the etching of subjacent layers [37,38].
The ion incidence angle in low-pressure plasmas is perpendicular to the surface. This is consistent with the preferred etch direction and the removal from nonvolatiles, whereas the impact is lower at vertical sidewalls. Here, an enrichment of polymers and nonvolatile reaction products takes place and inhibits etch mechanisms. The physically etched compounds leave the surface in a cosine angle distribution and can cause redepositions on the sidewall [39]. The yield of (reactive) sputtering and, thus, the removal of nonvolatiles shows an angular dependence with maximum values at ion incidence angles in the range of 60° to 70° for common materials [40,41]. The sidewall angles of the etched samples are near to 70°, which corresponds to an ion incidence angle where the maximum sputter yield takes place. Thus, the interplay of removal, reattachment, and the angle dependence of the sputter yield seems to be a reason for the sloped sidewall. In this regime, the most effective removal of nonvolatiles takes place. In contrast, Cho et al. [40] described the angular dependence of RIE for SiO2 etching and identified that the chemical etching leading to vertical sidewalls is dominant compared to the physical impact. For complex glass with a high number of nonvolatiles, the chemical reactions are reduced and require physical removal, e.g., by (reactive) ions. However, this assumption excludes charging effects and the associated influence on the ion direction. The material, the structure spacing, the aspect ratio, the charge of the substrate, and the structures influence the trajectory of the ions and can thus also change the etching behavior.
In the present case of photostructurable glass with glass and partially crystallized regions within a single sample, the interaction of the plasma with the inhomogeneous material structure is more complex. As mentioned before, the presence of water plays a crucial role in the chemical dissolution process of the glass network structure and the lattice structure in LMS. The incorporation of water via the etching gas in the CF4/H2 plasma etching process is negligible compared with the amount of water species locally available in wet chemical etching. Furthermore, the solubility of intrinsic water species in the material is higher in the glass phase than in the structure of LMS. FTIR-ATR measurements on plasma etched GC show a weakly increased absorption band due to OH stretching at a wave number of 3360 cm−1. A significant broadening of the asymmetric and symmetric stretching bands of the [SiO4] tetrahedra with variable nonbridging oxygens (NBOs) indicates an increased disorder in the GC structure combined with enhanced fluorocarbon polymer layer formation for an H2 content of 40% in the CF4/H2 gas mixture (see Figure 10). The fluorine ions bound in the polymer layer have delayed availability for the chemical dissolution process, resulting in a decrease in the etch rates. It is noteworthy that the variation in the H2 content of the etch gas mixture only affects the etch rates of the unexposed glass. It amounts to a maximum of 0.55 µm/min at an H2 content of 30%. The etch rates of the partially crystallized parts remain constant at a low level of 0.2 µm/min. The increasing contents of F and HF up to an H2 content of 30% in the etch gas mixture [25] meet an increased content of intrinsic water in the glass phase compared to the LMS phase. Therefore, it seems understandable that, in the case of plasma etching, the breakage of the siloxane bonds by fluorine ions is suppressed due to a lack of or insufficient initial hydration of the LMS structure. The higher amount of intrinsic water in the glass phase seems to promote fluorine ion attacking, resulting in a higher etch rate for the glass compared to the LMS. Accordingly, the incorporation of water species into the structure appears to be a rate-determining step in the chemical etching attack.
We observed differences regarding the surface topography of plasma etched glass and partially crystallized parts. The latter show a cone-like topography, while the glass part is truncated-cone-like (see Figure 5). Due to the Li2O:SiO2 ratio of 0.63 on the GC (plasma), we assume that the cones on the plasma etched surface of the GC have a chemical composition in the residual glass that encloses the single LMS crystals. The depletion of Li2O increases the alumina/alkali oxide ratio theoretically from 0.14 in unexposed glass to a maximum of 0.85. This is associated with an increased chemical resistance in the residual glass phase compared to that of unexposed glass.
In addition to the differences in the chemical dissolution of the unexposed glass and partially crystallized parts, masking effects due to coating formation (partial or as a closed layer) contribute to the formation of this topography, which is atypical for an isotropic etching attack. Furthermore, inhomogeneities in the material structure and wetting behavior during layer formation, which superimpose self-masking effects, must be considered. Such self-masking effects are described in the literature for dry-chemical etching processes of glasses. Thus, redeposited particles, polymeric residues, and nonvolatile by-products of the chemical reactions, especially for complex material compositions, can accumulate on the surface and inhibit the etching mechanism of the underlying silicate material [42,43,44,45]. Due to the complex material composition, the components of the deposits require further investigation.
It is possible that the spherical, truncated cone topography visible in several planes is due to uneven masking. The fluorocarbon layer formation perturbed by nonvolatile fluorine-containing reaction products is not only controlled by the substrate surface energy, but also a physical impact can be also observed. A tailored physical impact increases the etching rate and, thus, the consumption of the fluorocarbon layer on the surface. A high level of ion energy leads to a thin, steady-state polymer layer, whereas low-level ion energy enhances the fluorocarbon polymer formation and can stop the etching process. This results in the terraced arrangement of the differently sized truncated cones, because the isotropic etching attack by fluorine ions starts at different starting points. Self-masking nonvolatile reaction products also appear to have only a short residence time on the surface; otherwise, plateau formation would be suppressed.
The formation of the cone-shaped topography on partially crystallized surfaces results from a self-masking effect that is significantly more stable in the plasma etching process than in the glass phase region since glass crystallization in the photo form process does not change the net composition of the substrate, rather only that of LMS crystals characterized by a lower etch rate. The silver nanoparticles act as heterogeneous nucleating agents and can be considered from a structural point of view. These have a significantly higher stability during the etching process, so that plateau formation can only take place to a minor extent at points of the glass matrix that provides the crystals.
As a result, we conclude that the surface topography in the unexposed glass area is mainly caused by reaction products from the interaction of the CF4/H2 plasma with the surface. In the partially crystallized parts, the crystalline structure of the substrate in combination with the chemically more resistant residual glass around the crystals is the main feature of the surface topography.
Overall, the different topographies of wet chemical and plasma etched surfaces lead to increased roughness on the substrate surface and on the sidewalls in etched microstructures. In many cases, smoothing is required, i.e., for the improvement of the optical transmission of glass parts. In this context, additive, subtractive, and deforming methods are discussed. Additive methods are based on the application of coatings on the surfaces to be smoothed. In addition to smoothing, this can also serve other purposes, e.g., with suitable layer thickness and refractive index choices for antireflective surface treatment. Rädlein et al. [46] proposed the smoothing of various glasses with sol gel glass from the SiO2-TiO2-ZrO2 system using a dipping process. It was shown that the use of sol gel processes is well suited to the filling of pores as well as deeper grooves and trenches.
Subtractive processes are based on material removal to achieve a leveling effect. It is important that smoothing glass surfaces should ideally not affect the geometry of the work piece while reducing the surface roughness. Therefore, a process that selectively removes peaks and not the bulk material itself is desirable. In this regard, the isotropy of the etching process can be considered a disadvantage. However, there are smoothing processes for glass surfaces described in the literature that are explicitly based on etching solutions containing hydrofluoric acid. Thiene et al. [47], for instance, referred to these as acid polishing. In the context of photosensitive glasses, wet chemical etching by diluted pure HF or acid mixtures is used. Williams et al. [11] achieved an improvement in the surface quality of structural sidewalls for Foturan by adding HNO3 to an HF etching solution in comparison to pure hydrofluoric acid. Other ablative processes with smoothing effects demonstrated for glasses in general are cluster ion sputtering [48], RIE [49], chemical mechanical polishing [50], and laser ablation [51,52]. The applicability to photostructurable glass has not been demonstrated yet. One reason for this might be the low effectiveness of these methods within high aspect ratio microstructures.
Forming processes smoothen the surface of a work piece in a way that reduces the roughness without changing the overall volume. A frequently used method, also in connection with the smoothing of surfaces of photosensitive glasses, is thermal smoothing. In this process, the glass component y is heated in its entirety to a value above the transformation temperature and held there for a certain amount of time. The effect of the surface tension in combination with the onset of viscous flow causes smoothing. Deviations from the original geometry are possible due to the dead weight. Furthermore, rounding of edges and corners is observed [53,54].
In addition to the abovementioned smoothing processes, the reduction in the surface roughness within the etching process could be the most effective method. It is known from the RIE of complex glasses that an increase in the physical contribution during the process (e.g., due to a higher ion energy or the addition of noble gases, such as Ar or Xe) leads to a reduction in the surface roughness for borosilicate glasses and glass ceramics [55,56,57]. This reduces the accumulation of nonvolatile reaction products, polymers, and impurities, which can mask the surface, and inhibits homogenous chemical attacks on and the removal of the silicate material. Furthermore, the etch gas composition can be adjusted, which was not the part of the work presented here. This enables a specific adjustment of the physical and chemical etching attack as well as polymer formation when the dry chemical etching involves complex silicate materials [58,59]. However, these results mainly refer to the structuring of glasses and less so to the complex material structure of photosensitive glass, as discussed here.

5. Summary

A wet chemical etching process with diluted hydrofluoric acid was compared to a plasma etching process using a gas mixture of CF4 with variable contents of H2. While in the wet chemical etching process, the crystalline LMS phase has higher chemical solubility than unexposed glass regions; in the plasma etching process, the partially crystallized parts exhibit higher stability. Both processes show a dependence of the etch rates on the concentration, availability, and mobility of chemically active fluorine ions. For wet chemical etching, a maximum etch rate ratio (calculated as the ratio of the higher etch rate rGC to the lower etch rate rG) of 27 at a hydrofluoric acid concentration of 10 vol% was determined. In the plasma etching process, the etch rate ratio Rpla is significantly reduced and is 2.5 on average (calculated as the ratio of the higher etch rate rG to the lower etch rate rGC).
FTIR-ATR measurements showed increased contents of water species in unexposed glass (G) compared to in the partially crystallized parts (GC) before etching. Both wet chemical and plasma etching lead to increases in incorporated water species. The incorporation by wet chemical etching is greater than that by plasma etching, especially for G.
The crosslinking of Qn groups in glass and LMS and the network stabilizing effect of Al2O3 in glass are rate-determining during the wet chemical etching attack. In principle, Q2 crosslinking and the symmetry of the lattice structure in LMS lead to an increased etch rate compared to the weaker cross-linked unexposed glass in the presence of water. In the plasma-based etching process, the necessary components for chemical dissolution are mainly provided by the material structure and are thus present in significantly lower concentrations compared to the wet chemical etching process.
Another essential aspect is self-masking in the form of deposit formation. During wet chemical etching, water-soluble reaction products are formed, which, supported by ultrasound, are transported away from the newly formed surface. The result is typical surface topographies corresponding to an isotropic chemical attack of glass and partially crystallized parts with a highly soluble crystal phase. Depending on the etching time and concentration of hydrofluoric acid, etching depressions or imprints of dendritic LMS crystals are formed in the residual glass phase. In comparison, the topography of plasma etched surfaces might be much more strongly characterized by layer formation in the sense of self-masking. Both the etching gas mixture CF4/H2 and nonvolatile reaction products involving the photosensitive glass components can contribute to the formation of deposits. An anisotropic effect is caused by the process-immanent physical component, the ion bombardment of the surface. The results are cone-shaped and truncated-cone-shaped surface topographies on GC and G.
It was shown that H2 contents of >30% lead to a significant disturbance in the crystalline structure of LMS in the plasma etching process. A decrease in the etch rate confirms the assumption that water species are crucial for the chemical dissolution process but only with the presence of mobile, chemically active fluorine ions.
The phenomena in a wet chemical and plasma etching procedure can be attributed to short-term and long-term effects due to the complexity of the material structure and the processes that occur during etching. Three time domains can be defined: First, for the initial step, the removal of the first surface layer with a crystallization state different from the volume, for which only wet chemical etching has been investigated so far. Second, the most interesting moment is the onset of steady-state removal. This has been explained well for wet chemical etching and must be further investigated for plasma etching. In particular, the nucleation of masking cones is a phenomenon that deserves exploitation. Third, long etching times result in a lower surface roughness, the rounding of sharp edges, and possibly, the increased inaccuracy of etched moldings in the wet chemical etching process. There is an optimization problem regarding the parameters (time and acid concentration) of the etching process. Due to the complex plasma etch mechanism, long-term etching currently results in increased surface roughness when using CF4/H2 etch gas mixtures. Further investigations will be concentrated on the defined control of glass surface topographies.

Author Contributions

Conceptualization, U.B., C.W., E.R. and S.S.; formal analysis, U.B., C.W., L.-M.A. and E.R.; investigation, U.B. and L.-M.A.; writing—original draft preparation, U.B. and C.W.; writing—review and editing, E.R. and S.S.; supervision, E.R. and S.S.; funding acquisition, U.B. All authors have read and agreed to the published version of the manuscript.

Funding

We acknowledge the support for the publication costs provided by the Open Access Publication Fund of the Technische Universität Ilmenau.

Data Availability Statement

Not applicable.

Acknowledgments

The authors would like to thank the Center of Micro- and Nanotechnologies (ZMN, TU Ilmenau) for their experimental support regarding the plasma etching experiments, Kerstin Pfeifer (TU Ilmenau) for supporting the SEM investigations, Susanne Schiermeyer (Raesch Quarz GmbH) for the FTIR-ATR analysis, Thomas Peter (TU Clausthal) for the SNMS measurements, and Dagmar Hülsenberg for valuable comments on the presentation of the results.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Hülsenberg, D.; Harnisch, A.; Bismarck, A. Microstructuring of Glasses; Springer: Berlin/Heidelberg, Germany, 2008. [Google Scholar]
  2. Hanada, Y.; Sugioka, K.; Kawano, H.; Ishikawa, I.S.; Miyawaki, A.; Midorikawa, K. Nano-aquarium for dynamic observation of living cells fabricated by femtosecond laser direct writing of photostructurable glass. Biomed. Microdevices 2008, 10, 403–410. [Google Scholar] [CrossRef] [PubMed]
  3. Wu, D.; Wu, S.-Z.; Xu, J.; Niu, L.-G.; Midorikawa, K.; Sugioka, K. Hybrid femtosecond laser microfabrication to achieve true 3D glass/polymer composite biochips with multiscale features and high performance: The concept of ship-in-a-bottle biochip. Laser Photonics-Rev. 2014, 8, 458–467. [Google Scholar] [CrossRef]
  4. Masuda, M.; Sugioka, K.; Cheng, Y.; Hongo, T.; Shihoyama, K.; Takai, H.; Miyamoto, I.; Midorikawa, K. Direct fabrication of freely movable microplate inside photosensitive glass by femtosecond laser for lab-on-chip application. Appl. Phys. A 2004, 78, 1029–1032. [Google Scholar] [CrossRef]
  5. Xu, J.; Kawano, H.; Liu, W.; Hanada, Y.; Lu, P.; Miyawaki, A.; Midorikawa, K.; Sugioka, K. Controllable alignment of elongated microorganisms in 3D microspace using electrofluidic devices manufactured by hybrid femtosecond laser microfabrication. Microsyst. Nanoeng. 2017, 3, 16078. [Google Scholar] [CrossRef]
  6. Li, Z.L.; Low, D.K.Y.; Ho, M.K.; Lim, G.C.; Moh, K.J. Fabrication of waveguides in Foturan by femtosecond laser. J. Laser Appl. 2006, 18, 320–324. [Google Scholar] [CrossRef]
  7. Müller, R.; Brodersen, O. Strahler-Empfänger-Baugruppen zur Messung von Streulicht—Eine Alternative zu Partikelzählern? TM—Tech. Mess. 2011, 78, 448–456. [Google Scholar] [CrossRef]
  8. Gailevičius, D.; Purlys, V.; Peckus, M.; Gadonas, R.; Staliunas, K. Beam shaping with numerically optimized photonic crystals. In Proceedings of the SPIE LASE, Components and Packaging for Laser Systems III, San Francisco, CA, USA, 28 January–2 February 2017; Volume 10085. [Google Scholar] [CrossRef]
  9. Gailevičius, D.; Purlys, V.; Peckus, M.; Gadonas, R.; Staliunas, K. Spatial Filters on Demand Based on Aperiodic Photonic Crystals. Ann. Phys. 2017, 529, 1700165. [Google Scholar] [CrossRef]
  10. Machalett, M.; Brokmann, U.; Rädlein, E. Optimierung der NIR Absorption von fotostrukturierbarem Glas für MORES. In Proceedings of the Book of Abstracts of the 85, Poster Presentation, Glastechnische Jahrestagung, Saarbrücken, Germany, 30 May–1 June 2011; pp. 71–72. [Google Scholar]
  11. Williams, J.D.; Schmidt, C.; Serkland, D. Processing advances in transparent Foturan® MEMS. Appl. Phys. A 2010, 99, 777–782. [Google Scholar] [CrossRef]
  12. Mrotzek, S.; Harnisch, A.; Hülsenberg, D.; Brokmann, U. Crystallisation mechanism in ultraviolet sensitive microstructurable glasses. Glass Technol. 2004, 45, 97–100. [Google Scholar]
  13. Freitag, A.; Vogel, D.; Scholz, R.; Dietrich, T.R. Microfluidic Devices Made of Glass. JALA J. Assoc. Lab. Autom. 2001, 6, 45–49. [Google Scholar] [CrossRef]
  14. Brokmann, U.; Milde, T.; Rädlein, E.; Liefeith, K. Fabrication of 3D microchannels for tissue engineering in photosensitive glass using NIR femtosecond laser radiation. Biomed. Glasses 2019, 5, 34–45. [Google Scholar] [CrossRef]
  15. Uchino, T.; Sakka, T.; Iwasaki, M. Interpretation of Hydrated States of Sodium Silicate Glasses by Infrared and Raman Analysis. J. Am. Ceram. Soc. 1991, 74, 306–313. [Google Scholar] [CrossRef]
  16. Lin, S.-L.; Hwang, C.-S. Structures of CeO2-Al2O3-SiO2 glasses. J. Non-Cryst. Solids 1996, 202, 61–67. [Google Scholar] [CrossRef]
  17. Dunken, H. Physikalische Chemie der Glasoberfläche; VEB Deutscher Verlag für Grundstoffindustrie: Leipzig, Germany, 1981. [Google Scholar]
  18. Beran, A.; Voll, D.; Schneider, H. Dehydration and structural development of mullite precursors: An FTIR spectroscopic study. J. Eur. Ceram. Soc. 2001, 21, 2479–2485. [Google Scholar] [CrossRef]
  19. Aronne, A.; Esposito, S.; Pernice, P. FTIR and DTA study of lanthanum aluminosilicate glasses. Mater. Chem. Phys. 1997, 51, 163–168. [Google Scholar] [CrossRef]
  20. Środa, M.; Paluszkiewicz, C. The structural role of alkaline earth ions in oxyfluoride aluminosilicate glasses—Infrared spectroscopy study. Vib. Spectrosc. 2008, 48, 246–250. [Google Scholar] [CrossRef]
  21. Chen, M.; He, F.; Shi, J.; Xie, J.; Yang, H.; Wan, P. Low Li2O content study in Li2O-Al2O3-SiO2 glass-ceramics. J. Eur. Ceram. Soc. 2019, 39, 4988–4995. [Google Scholar] [CrossRef]
  22. Arnault, L.; Gerland, M.; Riviere, A. Microstructural study of two LAS-type glass-ceramics and their parent glass. J. Mater. Sci. 2000, 35, 2331–2345. [Google Scholar] [CrossRef]
  23. Fuss, T.; Moguš-Milanković, A.; Ray, C.S.; Lesher, C.E.; Youngman, R.; Day, D.E. Ex situ XRD, TEM, IR, Raman and NMR spectroscopy of crystallization of lithium disilicate glass at high pressure. J. Non-Cryst. Solids 2006, 352, 4101–4111. [Google Scholar] [CrossRef]
  24. Vogel, W. Glass Chemistry; Springer: Berlin/Heidelberg, Germany, 2012. [Google Scholar]
  25. Knizikevičius, R. Real dimensional simulation of SiO2 etching in CF4+H2 plasma. Vacuum 2004, 65, 101–108. [Google Scholar] [CrossRef]
  26. Mihály, J.; Sterkel, S.; Ortner, H.M.; Kocsis, L.; Hajba, L.; Furdyga, É.; Mink, J. FTIR and FT-Raman Spectroscopic Study on Polymer Based High Pressure Digestion Vessels. Croat. Chem. Acta 2006, 79, 497–501. [Google Scholar]
  27. Spierings, G.A.C.M. Wet chemical etching of silicate glasses in hydrofluoric acid based solutions. J. Mater. Sci. 1993, 28, 6261–6273. [Google Scholar] [CrossRef]
  28. Knotter, D.M. Etching Mechanism of Vitreous Silicon Dioxide in HF-Based Solutions. J. Am. Chem. Soc. 2000, 122, 4345–4351. [Google Scholar] [CrossRef]
  29. GESTIS-Stoffdatenbank. 2021. Available online: https://gestis.dguv.de (accessed on 3 May 2023).
  30. Rissom, C. Untersuchungen zur Abtrennung von Hexafluorosilicat aus Ätzbädern. Ph.D. Thesis, Technische Universität Bergakademie Freiberg, Freiberg, Germany, 2013. [Google Scholar]
  31. IUPAC Solubility Date Series. Available online: http://srdata.nist.gov/solubility/IUPAC/iupac.aspx (accessed on 3 May 2023).
  32. Clayden, N.; Esposito, S.; Jayasooriya, U.A.; Sprunt, J.; Pernice, P. Solid state 29Si NMR and FT Raman spectroscopy of the devitrification of lithium metasilicate glass. J. Non-Cryst. Solids 1998, 224, 50–56. [Google Scholar] [CrossRef]
  33. Stookey, S.D. Chemical Machining of Photosensitive Glass. Ind. Eng. Chem. 1953, 45, 115–118. [Google Scholar] [CrossRef]
  34. Michalske, T.A.; Freiman, S.W. A Molecular Mechanism for Stress Corrosion in Vitreous Silica. J. Am. Ceram. Soc. 1983, 66, 284–288. [Google Scholar] [CrossRef]
  35. Ye, X.; Shao, T.; Sun, L.; Wu, J.; Wang, F.; He, J.; Jiang, X.; Wu, W.-D.; Zheng, W. Plasma-Induced, Self-Masking, One-Step Approach to an Ultrabroadband Antireflective and Superhydrophilic Subwavelength Nanostructured Fused Silica Surface. ACS Appl. Mater. Interfaces 2018, 10, 13851–13859. [Google Scholar] [CrossRef]
  36. Cardinaud, C. Fluorine-based plasmas: Main features and application in micro-and nanotechnology and in surface treatment. C. R. Chim. 2018, 21, 723–739. [Google Scholar] [CrossRef]
  37. Lieberman, M.A.; Lichtenberg, A.J. Principles of Plasma Discharges and Materials Processing; Wiley Interscience, John Wiley & Sons, Inc.: Hoboken, NJ, USA, 2005. [Google Scholar]
  38. Nojiri, K. Dry Etching Technology for Semiconductors; Springer International Publishing: Cham, Switzerland, 2015. [Google Scholar]
  39. Küstner, M.; Eckstein, W.; Dose, V.; Roth, J. The influence of surface roughness on the angular dependence of the sputter yield. Nucl. Instrum. Methods Phys. Res. Sect. B Beam Interact. Mater. At. 1998, 145, 320–331. [Google Scholar] [CrossRef]
  40. Cho, B.-O.; Hwang, S.-W.; Lee, G.-R.; Moon, S.H. Angular dependence of SiO2 etching in a fluorocarbon plasma. J. Vac. Sci. Technol. A 2000, 18, 2791–2798. [Google Scholar] [CrossRef]
  41. Rainer Behrisch, W.E. Physics and Astronomy Classification Scheme; Springer: Berlin/Heidelberg, Germany, 2007. [Google Scholar]
  42. Grigoras, K.; Franssila, S. Formation of Nanoporous Glass Layer. Phys. Scr. 2004, T114, 66–68. [Google Scholar] [CrossRef]
  43. Lilienthal, K.; Stubenrauch, M.; Fischer, M.; Schober, A. Fused silica ‘glass grass’: Fabrication and utilization. J. Micromech. Microeng. 2010, 20, 025017. [Google Scholar] [CrossRef]
  44. Weigel, C.; Sinzinger, S.; Hoffmann, M. Deep etched and released microstructures in Zerodur in a fluorine-based plasma. Microelectron. Eng. 2018, 198, 78–84. [Google Scholar] [CrossRef]
  45. Wu, J.; Ye, X.; Sun, L.; Huang, J.; Wen, J.; Geng, F.; Zeng, Y.; Li, Q.; Yi, Z.; Jiang, X.; et al. Growth mechanism of one-step self-masking reactive-ion-etching (RIE) broadband antireflective and superhydrophilic structures induced by metal nanodots on fused silica. Opt. Express 2018, 26, 1361–1374. [Google Scholar] [CrossRef]
  46. Rädlein, E.; Ambos, R.; Frischat, G.H. Atomic force microscopy of coated glasses. Anal. Bioanal. Chem. 1995, 353, 413–418. [Google Scholar] [CrossRef] [PubMed]
  47. Thiene, H. Glas: Zweiter Band; Verlag von Gustav Fischer in Jena: Jena, Germany, 1939. [Google Scholar]
  48. Oettinger, E. Clustersputtern an optischen Oberflächen. Ph.D. Thesis, Universität Konstanz, Konstanz, Germany, 2001. Available online: http://nbn-resolving.de/urn:nbn:de:bsz:352-opus-6836 (accessed on 30 March 2023).
  49. Bhatnagar, P.; Panda, S.; Edleman, N.L.; Allen, S.D.; Wise, R.; Mahorowala, A. Controlling line-edge roughness and reactive ion etch lag in sub-150 nm features in borophosphosilicate glass. J. Appl. Phys. 2007, 101, 076102. [Google Scholar] [CrossRef]
  50. Zhong, Z.W. Recent Advances in Polishing of Advanced Materials. Mater. Manuf. Process. 2008, 23, 449–456. [Google Scholar] [CrossRef]
  51. Queste, S.; Salut, R.; Clatot, S.; Rauch, J.-Y.; Khan Malek, C.G. Manufacture of microfluidic glass chips by deep plasma etching, femtosecond laser ablation, and anodic bonding. Microsyst. Technol. 2010, 16, 1485–1493. [Google Scholar] [CrossRef]
  52. Hecht, K. Entwicklung eines Laserstrahlpolierverfahrens für Quarzglasoberflächen; Band 8; Universitätsverlag Ilmenau: Ilmenau, Germany, 2012; ISBN 978-3-86360-041-9. [Google Scholar]
  53. Cheng, Y.; Sugioka, K.; Midorikawa, K.; Masuda, M.; Toyoda, K.; Kawachi, M.; Shihoyama, K. Three-dimensional micro-optical components embedded in photosensitive glass by a femtosecond laser. Opt. Lett. 2003, 28, 1144–1146. [Google Scholar] [CrossRef]
  54. Tantawi, K.H.M.; Oates, J.; Kamali-Sarvestani, R.; Bergquist, N.; Williams, J.D. Processing of photosensitive APEX™ glass structures with smooth and transparent sidewalls. J. Micromech. Microeng. 2011, 21, 017001. [Google Scholar] [CrossRef]
  55. Park, J.H.; Lee, N.-E.; Lee, J.; Park, J.S.; Park, H.D. Deep dry etching of borosilicate glass using SF6 and SF6/Ar inductively coupled plasmas. Microelectron. Eng. 2005, 82, 119–128. [Google Scholar] [CrossRef]
  56. Li, L.; Abe, T.; Esashi, M. Smooth surface glass etching by deep reactive ion etching with SF6 and Xe gases. J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. 2003, 21, 2545. [Google Scholar] [CrossRef]
  57. Weigel, C.; Schulze, M.; Gargouri, H.; Hoffmann, M. Deep etching of Zerodur glass ceramics in a fluorine-based plasma. Microelectron. Eng. 2018, 185–186, 1–8. [Google Scholar] [CrossRef]
  58. Oehrlein, G.S.; Williams, H.L. Silicon etching mechanisms in a CF4/H2 glow dis-charge. J. Appl. Phys. 1987, 62, 662–672. [Google Scholar] [CrossRef]
  59. Weigel, C.; Phi, H.B.; Denissel, F.A.; Hoffmann, M.; Sinzinger, S.; Strehle, S. Highly Anisotropic Fluorine-Based Plasma Etching of Ultralow Expansion Glass. Adv. Eng. Mater. 2021, 23, 2001336. [Google Scholar] [CrossRef]
Figure 1. Schematic illustration of a three-step photo form process with variations in the etching procedure shown in the third step. The scanning electron microscopy images after etching illustrate either a glass pillar surrounded by partially crystallized glass after wet chemical etching (left) or a cup-like recess with a glassy bottom surrounded by partially crystallized glass after plasma etching (right).
Figure 1. Schematic illustration of a three-step photo form process with variations in the etching procedure shown in the third step. The scanning electron microscopy images after etching illustrate either a glass pillar surrounded by partially crystallized glass after wet chemical etching (left) or a cup-like recess with a glassy bottom surrounded by partially crystallized glass after plasma etching (right).
Solids 04 00014 g001
Figure 2. SEM image of a photosensitive glass surface after masked UV exposure, thermal treatment, and HF etching (cHF = 0.5 vol%). The crystals were dissolved during wet chemical etching.
Figure 2. SEM image of a photosensitive glass surface after masked UV exposure, thermal treatment, and HF etching (cHF = 0.5 vol%). The crystals were dissolved during wet chemical etching.
Solids 04 00014 g002
Figure 3. Illustration of the etch rates r for the unexposed glass (G) and the partially crystallized parts (GC) as well as of the etch rate ratio Rwet in relation to the hydrofluoric acid concentration used for the wet chemical etching. The error bars result from the distinct variation in the etch depth determination.
Figure 3. Illustration of the etch rates r for the unexposed glass (G) and the partially crystallized parts (GC) as well as of the etch rate ratio Rwet in relation to the hydrofluoric acid concentration used for the wet chemical etching. The error bars result from the distinct variation in the etch depth determination.
Solids 04 00014 g003
Figure 4. Illustration of the etch rates r measured for plasma etching with respect to the unexposed glass parts (G) and the partially crystallized parts (GC) as well as the corresponding etch rate ratio Rpla in relation to the H2 content in the plasma etching gas mixture.
Figure 4. Illustration of the etch rates r measured for plasma etching with respect to the unexposed glass parts (G) and the partially crystallized parts (GC) as well as the corresponding etch rate ratio Rpla in relation to the H2 content in the plasma etching gas mixture.
Solids 04 00014 g004
Figure 5. SEM images of the details of the photostructured glass surfaces after wet chemical (first line, (ac)) and plasma etching (second line, (df)) for unexposed glass G (a,d) and partially crystallized parts GC (c,f), and the transition range with a step due to etch selectivity between G and GC (b,e).
Figure 5. SEM images of the details of the photostructured glass surfaces after wet chemical (first line, (ac)) and plasma etching (second line, (df)) for unexposed glass G (a,d) and partially crystallized parts GC (c,f), and the transition range with a step due to etch selectivity between G and GC (b,e).
Solids 04 00014 g005
Figure 6. AFM images of the topography of a sidewall surface in photostructured glass after wet chemical etching (a) for an etch time of 27 min, which correlates to an etch depth of 50–100 µm, and (b) for an etch time of 1 min, which correlates to an etch depth of 600–650 µm.
Figure 6. AFM images of the topography of a sidewall surface in photostructured glass after wet chemical etching (a) for an etch time of 27 min, which correlates to an etch depth of 50–100 µm, and (b) for an etch time of 1 min, which correlates to an etch depth of 600–650 µm.
Solids 04 00014 g006
Figure 7. Normalized effective sidewall surface dependent on the etch depth (double-sided etching, exemplarily shown here for a 1000 µm thick substrate): simultaneous etching from the top and back sides of the sample; total etch time of the sample: 27 min. The calculation took place using the AFM measurements exemplarily shown in Figure 6.
Figure 7. Normalized effective sidewall surface dependent on the etch depth (double-sided etching, exemplarily shown here for a 1000 µm thick substrate): simultaneous etching from the top and back sides of the sample; total etch time of the sample: 27 min. The calculation took place using the AFM measurements exemplarily shown in Figure 6.
Solids 04 00014 g007
Figure 8. FTIR spectra of unexposed glass (G) and crystallized parts (GC), both nonetched. The main peaks are identified (see Table 1). The crystallized parts (GC) show a peak split. The green marked peaks belong to LMS.
Figure 8. FTIR spectra of unexposed glass (G) and crystallized parts (GC), both nonetched. The main peaks are identified (see Table 1). The crystallized parts (GC) show a peak split. The green marked peaks belong to LMS.
Solids 04 00014 g008
Figure 9. ATR-FTIR spectra of unexposed glass (G) and crystallized parts (GC) before and after wet chemical etching. Wet chemical etching mainly leads to the incorporation of water in the material structure. The content is increased for unexposed glass (G).
Figure 9. ATR-FTIR spectra of unexposed glass (G) and crystallized parts (GC) before and after wet chemical etching. Wet chemical etching mainly leads to the incorporation of water in the material structure. The content is increased for unexposed glass (G).
Solids 04 00014 g009
Figure 10. Infrared spectra of crystallized parts (GC) after plasma etching using CF4/H2 gas mixtures with variable contents of H2 of between 0% and 40%.
Figure 10. Infrared spectra of crystallized parts (GC) after plasma etching using CF4/H2 gas mixtures with variable contents of H2 of between 0% and 40%.
Solids 04 00014 g010
Figure 11. Secondary neutral particle mass spectrometry (SNMS) depth profile of a plasma etched crystallized sample (H2 content in the gas mixture: 20%).
Figure 11. Secondary neutral particle mass spectrometry (SNMS) depth profile of a plasma etched crystallized sample (H2 content in the gas mixture: 20%).
Solids 04 00014 g011
Figure 12. Calculated oxide concentrations relative to the sum of the main glass components of the photostructurable glass for the following states (from left to right): unexposed glass (G) or partially crystallized (GC), both unetched; G, wet chemical etched (cHF = 5 vol%); GC, wet chemical etched like G or with plasma (H2 content of the gas mixture CF4/H2: 20%).
Figure 12. Calculated oxide concentrations relative to the sum of the main glass components of the photostructurable glass for the following states (from left to right): unexposed glass (G) or partially crystallized (GC), both unetched; G, wet chemical etched (cHF = 5 vol%); GC, wet chemical etched like G or with plasma (H2 content of the gas mixture CF4/H2: 20%).
Solids 04 00014 g012
Figure 13. Proposed first steps of the etching mechanism involved in the etching of LMS with water-diluted hydrofluoric acid. (1)–(2) Ion exchange of Li+ and H+. (2)–(3)–(4) Cleavage of OH-groups as a rate-determining step. (4)–(5) Fluoridation of the top layer. Full dissolution still requires dissolution of the siloxane bridges, as suggested by Knotter [28].
Figure 13. Proposed first steps of the etching mechanism involved in the etching of LMS with water-diluted hydrofluoric acid. (1)–(2) Ion exchange of Li+ and H+. (2)–(3)–(4) Cleavage of OH-groups as a rate-determining step. (4)–(5) Fluoridation of the top layer. Full dissolution still requires dissolution of the siloxane bridges, as suggested by Knotter [28].
Solids 04 00014 g013
Figure 14. SEM picture of the transition area glass—partially crystallized part after wet chemical etching.
Figure 14. SEM picture of the transition area glass—partially crystallized part after wet chemical etching.
Solids 04 00014 g014
Table 1. Overview of peak positions and structural groups in unexposed photosensitive glass (G) and crystallized parts (GC) after UV exposure and thermal treatment.
Table 1. Overview of peak positions and structural groups in unexposed photosensitive glass (G) and crystallized parts (GC) after UV exposure and thermal treatment.
IR Band [cm−1]Active Group and
Assignment of the IR Band
Reference
GGC
33603360HOH(I): O-H stretching νHOH(I)[15]
16701670
1390
HOH(I): H-O-H bending δHOH(I)[15]
1150
1028
895
760
1150
1028
955
907
840
784
Asym. and sym. stretching of [SiO4] tetrahedra with variable numbers of nonbridging oxygens (NBOs), coupled with Si-OH/Al3+/Li+, Na+, K+)
νas(SiOSi), νas(-OSiO-), νs(-OSiO-)
[15,16,17,21,23]
760784Al-O stretching ([AlO4] tetrahedra) ν[AlO4][15,17,19]
722
604
[SiO4] tetrahedra in LMS: sym. stretching
νs(SiOSi)
[23]
600–400420[SiO4] tetrahedra with different numbers of bridging oxygens: bending δ(SiOSi), δ(-OSiO-)[17,22]
512Si-O deformation in LMS[20,22,23]
Table 2. Solubility levels of possible reaction products in H2O and HF.
Table 2. Solubility levels of possible reaction products in H2O and HF.
Chemical CompoundSolubility in H2OSolubility in HFReference
LiF1.3 g/L (25 °C)poorsoluble[29]
Li2SiF6·2H2O730 g/L (17 °C)high-[30]
NaF42.2 g/L (20 °C)moderateweak[29,30]
Na2SiF67.62 g/L (25 °C)poor-[30]
Na3AlF60.41 g/L (20 °C)very poor-[29]
KF450 g/L (20 °C)highinsoluble[29]
K2SiF67.62 g/L (25 °C)little-[30]
AlF36.7 g/L (20 °C)poorpoor[31]
H2SiF6fully miscible-[31]
AgF1791 g/L (25 °C)very well-[29]
SiF4decomposessoluble[31]
SiF6decomposessoluble[31]
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Brokmann, U.; Weigel, C.; Altendorf, L.-M.; Strehle, S.; Rädlein, E. Wet Chemical and Plasma Etching of Photosensitive Glass. Solids 2023, 4, 213-234. https://doi.org/10.3390/solids4030014

AMA Style

Brokmann U, Weigel C, Altendorf L-M, Strehle S, Rädlein E. Wet Chemical and Plasma Etching of Photosensitive Glass. Solids. 2023; 4(3):213-234. https://doi.org/10.3390/solids4030014

Chicago/Turabian Style

Brokmann, Ulrike, Christoph Weigel, Luisa-Marie Altendorf, Steffen Strehle, and Edda Rädlein. 2023. "Wet Chemical and Plasma Etching of Photosensitive Glass" Solids 4, no. 3: 213-234. https://doi.org/10.3390/solids4030014

Article Metrics

Back to TopTop