Next Article in Journal
Effect of La and Sc Co-Addition on the Mechanical Properties and Thermal Conductivity of As-Cast Al-4.8% Cu Alloys
Previous Article in Journal
Effect of Ferritic Morphology on Yield Strength of CGHAZ in a Low Carbon Mo-V-N-Ti-B Steel
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Shearing Characteristics of Cu-Cu Joints Fabricated by Two-Step Process Using Highly <111>-Oriented Nanotwinned Cu

1
Department of Materials Science and Engineering, National Yang Ming Chiao Tung University, Hsinchu 30010, Taiwan
2
Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan
*
Author to whom correspondence should be addressed.
Metals 2021, 11(11), 1864; https://doi.org/10.3390/met11111864
Submission received: 27 September 2021 / Revised: 15 November 2021 / Accepted: 16 November 2021 / Published: 19 November 2021

Abstract

:
Cu-Cu bonding has the potential to break through the extreme boundary of scaling down chips’ I/Os into the sub-micrometer scale. In this study, we investigated the effect of 2-step bonding on the shear strength and electrical resistance of Cu-Cu microbumps using highly <111>-oriented nanotwinned Cu (nt-Cu). Alignment and bonding were achieved at 10 s in the first step, and a post-annealing process was further conducted to enhance its bonding strength. Results show that bonding strength was enhanced by 2–3 times after a post-annealing step. We found 50% of ductile fractures among 4548 post-annealed microbumps in one chip, while the rate was less than 20% for the as-bonded counterparts. During the post-annealing, interfacial grain growth and recrystallization occurred, and the bonding interface was eliminated. Ductile fracture in the form of zig-zag grain boundary was found at the original bonding interface, thus resulting in an increase in bonding strength of the microbumps.

1. Introduction

In the era of 5G and big data, extremely high-speeds of signal transmission and multi-system functionality in technologies are growing in demand, especially as a result of the COVID-19 pandemic. Distance communication, such as telemedicine, business meetings, and online education, has greatly increased the demand for electronic and micro-electronic products. Excellent reliability, small size, high functionality, and low-cost of devices are thus required. However, it is incredibly difficult to shrink down the pitch of solder microbumps below 10 µm [1,2,3,4,5,6,7,8], due to bridging and the side wall wetting effect [9,10,11]. Cu-Cu bonding has shown potential in overcoming the limitation of scaling down into the sub-micron scale, and impede the delay problem of RC signal. Currently, die-to-wafer and die-to-die approaches have not been realized in mass production yet, due to low throughput. Several methods have been conducted to bond Cu microbumps and obtain good bonding quality, such as surface activated bonding (SAB) [5], plasma passivation [1,12], and thermal compression bonding [13,14,15]. These were conducted in a complex pre-treatment chamber. This may lead to additional costs for the treatment facility and processing. In this study, we only used citric acid followed by DI water and N2 purging to clean the bonding surface under room temperature and ambient pressure. Thus, the manufacturing cost and process complexity were further reduced.
In a previous study, Shie et al. revealed that Cu-Cu bonding can be bonded at 300 °C in 10 s using highly <111>-oriented nanotwinned copper (nt-Cu) [15], which is the shortest bonding time reported. Such nt-Cu was demonstrated to have superior mechanical properties [16,17,18,19] and great resistance to electromigration [20]. However, the reliability of chips (bonding strength) is not satisfactory. Hence, this study is aimed at demonstrating that bonding strength can be enhanced significantly by triggering interfacial recrystallization and grain growth during a post-annealing process. However, the surface of Cu microbumps deposited on the Si wafer might be varied in height and surface roughness after chemical mechanical planarization (CMP) treatment. Additionally, the bonding pressure might not be uniform during the bonding process. Thus, Cu-Cu joints in Kelvin structure and Daisy chain were additionally measured by a 4-point probe technique to test the functionality of bonded joints. Results of electrical resistance measured before and after post-annealing show the capability of assisting the connection of opened Cu-Cu joints and further strengthening them, as shown in Table 1. Therefore, post-annealing is applied to increase the mechanical strength and maintain low electrical conductivity in joints.
In this study, we conducted the bonding process in two steps and investigated its reliability. We primarily focused on shortening the bonding time to save the time of alignment in the first step. The post-annealing process has the ability to be executed batch by batch at the second step, thus increasing production and yield rates in industrial manufacturing. The first step was conducted using alignment marks of the top and bottom dies. It was bonded for only 10 s under thermal compression in N2. Higher bonding quality was achieved at the second step, denoted as post-annealing. Note that three main factors which affect the bonding quality of a Cu-Cu bond are surface diffusivity, oxidation rate, and surface roughness. To obtain greater consistency and higher bonding quality, highly <111>-oriented nt-Cu microbumps were bonded within 10 s in the first step fabrication. According to [21], Hsiao et al. reported that the <111> crystal plane is the highest packing plane in an FCC structure. It possesses the lowest number of dangling bonds and the lowest oxidation rate. Moreover, the most densely packed plane has the lowest activation energy to endure during diffusion. Thus, they concluded that the <111> Cu surface possesses the highest surface diffusivity and lowest oxidation rate among all other crystallographic planes of Cu. Therefore, it is of great importance to fabricate a high percentage of <111> surface in the nt-Cu bumps.
Additionally, the roughness of the bonding surface is also a key factor to obtaining high quality Cu joint bonding. Herein, we used chemical mechanical planarization (CMP) prior to the bonding to reduce its roughness. The electrical and bonding properties of the bumps with and without post-annealing were investigated. Bonding quality was then characterized using shear tests, and the electrical resistance was measured by a Kelvin structure in the tested vehicles (Figure 1) and a Daisy chain using a four-point-probe technique.

2. Materials and Methods

Highly <111>-oriented nt-Cu microbumps were electrodeposited on an 8-inch photoresist (PR) patterned wafer using direct current at room temperature. The electrolyte used in this investigation contained a high purity copper sulfate solution, 100 g/L of sulfuric acid [22], 0.1 mL/L of a hydrochloric acid, and an additive for nanotwin nucleation (Chemleader Corporation, Hsinchu, Taiwan). Figure 2 shows the workflow of the first step bonding. Wafer level CMP was conducted after the nt-Cu electrodeposition on an 8-inch patterned wafer to reduce the surface roughness of Cu microbumps. A dicing process was then carried out, and the samples were diced into the top (6 × 6 mm2) and bottom (15 × 15 mm2) dies. The bonding surfaces were cleaned with a citric acid [23,24] and DI water, and blown dry through an N2 purge. The reason for this is that the native oxide layer on the bonding interface, acting as a barrier for atomic diffusion, may critically affect its bonding quality.
The first step bonding was then executed under N2 ambient under an ambient pressure environment. The reason we used N2 ambient for the 1st bonding process was to provide a medium for a better heat transfer. Table 2 shows the conditions of the first step bonding process. The facility used for the bonding was die-to-die flip chip bonder (CA-2000VA, Bondtech Co., Ltd., Kyoto, Japan). The heating area of the heating head and plate was approximately 3 cm2. Thus, the expected bonding temperatures could be obtained within a very short time using the heater. After the first bonding process (10 s), N2 purging was used to cool down the bonded chip. The second step bonding was executed in vacuum to prevent further oxidation in the Cu joints. The vacuum pressure was below 4 Pa. The bonding force and bonding time are listed in Table 3. The correlation between bonding strength, electrical properties, and interfacial microstructure after the first bonding and the post annealing were subsequently analyzed. A scanning electron microscope (SEM) and focused ion beam (FIB) were employed to observe microstructures in the microbumps and bonding quality at the interface. There were 4548 microbumps on a test vehicle, and their electrical resistances were measured by a four-point probe at the Kelvin structure and Daisy chain of the tested vehicles, and the bonding strength was quantized by die shear tests.

3. Results and Discussion

The crystallographic orientation analysis at the normal direction of nt-Cu microbumps bonding surface before bonding was carried out by EBSD, and is shown in Figure 3c. The main purpose of this is for crystal orientation confirmation and optical interface modules (OIM) analysis. The OIM analysis detected the <111> ratio of the microbump surface with a 10° angle tolerence to be approximately 40%. According to our previous studies [21,25], the higher the ratio of <111>, the better the bonding quality could be, thanks to the highest surface diffusivity of <111> surface in a FCC metal. It is three to six orders higher than other crystal orientations. The ratio of <111>-oriented grains does not increase above 40%, due to the arc of passivation opening. It affects the nt-Cu columnar grain nucleation during electroplating. Figure 3a,b shows the cross-sections of the microbumps from the top and bottom dies. We found that the diameters of passivation opening of the top and bottom die are 15 and 25 µm, respectively. The reason for the different passivation opening used in this study is that we expect the microbumps from the top die to remain on the bottom die during the shear tests. Interfacial fracture can be observed when the bonding strength is high enough. In this study, the fractures which occurred at the passivation opening on the top die can be observed by SEM. Ductile fractures accounted for >50% over 4548 post-annealed microbumps in a chip, while the as-bonded counterparts were below 20% after shear tests.
Figure 4 shows the process profile using a 93-MPa pressure under 300 °C in nitrogen ambient. Figure 5a,b shows the FIB images for the as-bonded sample under a 93-MPa compression. It is obvious that the bonding interface is almost free of large voids (Figure 5a). However, some gaps are detected at the edges of microbumps. Grains did not grow across the bonding interface, but some nt-Cu columnar grains disappeared and formed new grains at the marked regions (Figure 5b). We found 20% of ductile fractures among 4548 microbumps in one chip, as listed in Table 4. We also reduced the bonding force to 47 MPa, and the bonding profile is shown in Figure 6. Similarly, voids were not obviously seen at the bonding interface using electron image (Figure 7a). However, some gaps were also found at the edges of the microbumps, as shown in Figure 7a. Some columnar grains disappeared. Recrystallization in the bumps was found, but no grain growth at the bonding interface was observed (Figure 7b). We counted the ductile fracture of 4548 microbumps in a chip to be only 3% (Table 4).
We also decreased the bonding pressure to 31 MPa and analyzed its bonding quality. A typical bonding profile is shown in Figure 8. The results show that the microbump resistance remained unchanged, but its bonding strength dropped significantly to 26 MPa, which is roughly 50% less compared to that of the 93-MPa bonding condition. As shown in Figure 9a, gaps are still seen at the edges of microbumps. Most of the <111>-oriented nt-Cu columnar grains are detected in the passivation opening (Figure 9b). Ductile fracture of 4548 microbumps in a chip equaled as 1%, as shown in Table 4. It has been reported that some nt-Cu columnar grains grew into larger grains, and shifted to other orientations under a high bonding temperature [7,8,9]. This was conducted under 40 MPa for 20 min. A few nt-Cu grains grew larger and transformed to anther orientation under a bonding temperature of 250 °C [26]. They found that brittle fractures presented in the samples without grain growth at the bonding interface. Ductile fractures occurred in the bonding with a recrystallized interface. Similar findings are obtained in this investigation (Figure 5b, Figure 7b and Figure 9b). Interestingly, obvious interfacial grain growth and recrystallization are not detected in all the as-bonded samples. Thus, its bonding strength is lower than that of the post-annealed counterparts.
Figure 10 shows the process profile of the post-annealing. The cross-sectional FIB images of the post-annealed samples are shown in Figure 11. We found no gaps at the edges of the joints. The significance of this current method is that the nt-Cu columnar grains were completely consumed, recrystallized, and the grain regrew, as shown in Figure 11b,d,f. Under such a bonding condition, a 50% ductile fracture of 4548 microbumps in a chip was obtained. Grain growth and recrystallization occurred significantly in all samples treated for a second bonding step. We propose that, for a longer bonding time, the detwinning of the nt-Cu columnar grains is more severe [25], and the zig-zag grain boundaries will replace the original bonding interface, as shown in Figure 11b,d,f. Atomic surface and grain boundary diffusion will also continue to occur for such a long bonding time.
The mechanical properties of the as-bonded and post-annealed bonds were quantitatively characterized using shear testing. Figure 12 shows the schematic of shear tests. A shear height of 200 µm was set, and the shear speed was controlled as 100 µm/s. The shear strength comparison of the post-annealed and as-bonded samples is shown in Figure 13. The electron images of ductile and brittle fracture modes after characterizing by the die shear test are shown in Figure 14. It is obvious that the shear strength of the post-annealed joints is greater than that of the as-bonded counterparts. The shear strength of the as-bonded samples was measured between to be between 28.1 and 55.7 MPa, depending on the bonding pressure applied within 10 s, while the post-annealed samples were in the range of 95 to 125 MPa. Although the post-annealed sample bonded under 47 MPa within 10 s in its first process showed the highest shear strength compared to other parameters, it still showed at the same range of standard deviation. In other words, we propose that such variation in post-annealed samples is not significant, and can be neglected due to the fact that the second step (post-annealing) process is primarily attributed to the increase in shear strength. The bonding strength of the post-annealed samples significantly increased, while its resistance almost remained unchanged (Table 5). Nitta et al. [27] reported that the difference of electrical resistance via its grain size is not significant under room temperature, but at the lower temperature. The reason is mainly due to the thermal lattice vibration which is one of the major factors determining resistivity, and thus it can be neglected at 12 K. Under such a low temperature, the contributions from other scattering mechanisms, such as impurity and grain boundary scattering, are easier to be observed. In this study, the electrical resistance was measured at room temperature by a Kelvin structure and Daisy chain. We did not find any obvious changes in electrical resistance.
Moreover, the post-annealing also assists in the connection of un-bonded Cu-Cu joints, and further strengthens them. Note that the surface of Cu joint on the Si wafer might have variation in height and surface roughness after the CMP treatment. Furthermore, the bonding pressure might not be uniform, and the bonding time in the first step was too short during the bonding process. Thus, some Cu-Cu joints are opened after the first step bonding with humongous values in electrical resistance. Post-annealing assists in the connection of un-bonded Cu-Cu joints, thus the electrical resistance can be measured from opened to valued (Table 1). Chen et al. [28] reported that greater bonding strength could be achieved at a higher bonding temperature or through longer annealing. In the current study, the samples with N2 annealed for 60 min at 350 °C presented no bonding interfaces and a higher bonding strength, while the samples without annealing had bonding interfaces, with a relatively low bonding strength.
Juang et al. [26] reported that recrystallization and grain growth also occurred at the bonding interface, and reduced the void number. A bonding temperature of 350 °C will result in a greater bonding strength due to the elimination of the bonding interface. It can be concluded that the improvement of shear strength is attributed to grain growth and recrystallization, resulting in bonding interface elimination with ductile fractures during shear testing. In this study, we successfully fabricated Cu-Cu microbumps bonded in just 10 s with low electrical resistivity and sufficient bonding strength. Moreover, we demonstrated that the recrystallization and grain growth across the bonding interface significantly enhances the bonding strength of the Cu bumps, while their electrical resistance is maintained to be low. Most importantly, such a bonding method is obviously compatible for flip chip die-to-die and die-to-wafer processing.

4. Conclusions

In this investigation, microstructural analysis showed that a small amount of grain growth and recrystallization occurred due to high bonding temperature and force applied. However, no obvious bonding interface elimination was observed after a first bonding step. Bonding interfaces were clearly seen, and mostly brittle fractures were found resulting in a low bonding strength. As the samples further annealed, interfacial recrystallization occurred and thus the original bonding interface was eliminated. Formation of a zig-zag pattern at the original bonding interface was found as a sign of ductile fracture. Thus, it led to a 2–3 times increase in bonding strength among the microbumps, compared to the as-bonded samples.
It is noteworthy that the aligning process in the die-to-die and die-to-wafer bonding process is a very time-consuming process, especially due to manual alignment. For the first bonding, we performed the alignment and instant bonding in 10 s. A post-annealing step was further conducted to enhance the bonding strength. Such a fast and simple bonding process is cost saving, and thus applicable for mass production. In this work, we demonstrated that the two-step bonding process has potential for mass production of die-to-wafer and die-to-die bonding. Fast bonding is achieved in just 10 s at 300 °C in N2 ambient. The joint reliability can be further enhanced by a post-annealing bonding process.

Author Contributions

Conceptualization, J.-J.O., K.-C.S. and C.C.; methodology, J.-J.O. and C.C.; validation, C.C.; data analysis, J.-J.O., K.-C.S., D.-P.T. and C.C.; investigation, J.-J.O. and S.-C.Y.; resources, C.C.; data curation, J.-J.O.; writing—original draft preparation, J.-J.O. and D.-P.T.; writing—review and editing, J.-J.O., D.-P.T. and C.C.; visualization, C.C.; supervision, C.C.; project administration, C.C.; funding acquisition, C.C. All authors have read and agreed to the published version of the manuscript.

Funding

This work was financially supported by the “Center for the Semiconductor Technology Research” from The Featured Areas Research Center Program within the framework of the Higher Education Sprout Project by the Ministry of Education (MOE) in Taiwan; the Ministry of Science and Technology, Taiwan, under Grant MOST-109-2634-F-009-029, MOST-107-2221-E-009-007-MY3, and MOST-110-2634-F-009-027. The authors gratefully acknowledge the technical support from Core Facility Center, National Cheng Kung University for the use of DB-FIB (FEI, Helios NanoLab G3 CX equipment).

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Park, H.; Kim, S.E. Two-step plasma treatment on copper surface for low-temperature Cu thermo-compression bonding. IEEE Trans. Compon. Packag. Manuf. Technol. 2019, 10, 332–338. [Google Scholar] [CrossRef]
  2. Wang, L.; Fountain, G.; Lee, B.; Gao, G.; Uzoh, C.; McGrath, S.; Enquist, P.; Arkalgud, S.; Mirkarimi, L. Direct Bond Interconnect (DBI®) for fine-pitch bonding in 3D and 2.5D integrated circuits. In Proceedings of the 2017 Pan Pacific Microelectronics Symposium (Pan Pacific), Kauai, HI, USA, 6–9 February 2017; pp. 1–6. [Google Scholar]
  3. Tang, Y.S.; Chang, Y.J.; Chen, K.N. Wafer-level Cu–Cu bonding technology. Microelectron. Reliab. 2012, 52, 312–320. [Google Scholar] [CrossRef]
  4. Gösele, U.; Tong, Q.Y.; Schumacher, A.; Kräuter, G.; Reiche, M.; Plößl, A.; Kopperschmidt, P.; Lee, T.-H.; Kim, W.J. Wafer bonding for microsystems technologies. Sens. Actuator A Phys. 1999, 74, 161–168. [Google Scholar] [CrossRef] [Green Version]
  5. Kim, T.H.; Howlader, M.M.R.; Itoh, T.; Suga, T. Room temperature Cu–Cu direct bonding using surface activated bonding method. J. Vac. Sci. Technol. A 2003, 21, 449–453. [Google Scholar] [CrossRef] [Green Version]
  6. Panigrahy, A.K.; Chen, K.N. Low temperature Cu–Cu bonding technology in three-dimensional integration: An extensive review. J. Electron. Packag. 2018, 140, 010801. [Google Scholar] [CrossRef] [Green Version]
  7. Chen, C.; Liu, C.M.; Lin, H.W.; Huang, Y.S.; Chu, Y.C.; Lyu, D.R.; Chen, K.-N.; Tu, K.N. Low-temperature and low-pressure direct copper-to-copper bonding by highly <111>-oriented nanotwinned Cu. In Proceedings of the Pan Pacific Microelectronics Symposium (Pan Pacific), Big Island, HI, USA, 25–28 January 2016; pp. 1–5. [Google Scholar] [CrossRef]
  8. Juang, J.Y.; Lu, C.L.; Chen, K.J.; Chen, C.C.A.; Hsu, P.N.; Chen, C.; Tu, K.N. Copper-to-copper direct bonding on highly <111>-oriented nanotwinned copper in no-vacuum ambient. Sci. Rep. 2018, 8, 13910. [Google Scholar] [CrossRef] [PubMed]
  9. Juang, J.Y.; Huang, S.Y.; Zhan, C.J.; Lin, Y.M.; Huang, Y.W.; Fan, C.W.; Chung, S.C.; Chen, S.M.; Peng, J.S.; Lau, J.H. Effect of metal finishing fabricated by electro and Electroless plating process on reliability performance of 30μm-pitch solder micro bump interconnection. In Proceedings of the 2013 IEEE 63rd Electronic Components and Technology Conference, Las Vegas, NV, USA, 28–31 May 2013; pp. 653–659. [Google Scholar] [CrossRef]
  10. Juang, J.Y.; Lu, S.T.; Zhan, C.J.; Chung, S.C.; Fan, C.W.; Peng, J.S.; Chen, T.H. Development of 30 μm pitch Cu/Ni/SnAg micro-bump-bonded chip-on-chip (COC) interconnects. In Proceedings of the 2010 5th International Microsystems Packaging Assembly and Circuits Technology Conference, Taipei, Taiwan, 20–22 October 2010; pp. 1–4. [Google Scholar] [CrossRef]
  11. Liang, Y.C.; Chen, C.; Tu, K.N. Side wall wetting induced void formation due to small solder volume in microbumps of Ni/SnAg/Ni upon reflow. ECS Solid State Lett. 2012, 1, P60. [Google Scholar] [CrossRef]
  12. Hu, L.; Goh, S.C.K.; Tan, C.S. Ar/N2 Plasma Induced Metastable CuxNy for Cu-Cu Direct Bonding. ECS Trans. 2020, 98, 203. [Google Scholar] [CrossRef]
  13. Tan, C.S.; Fan, J.; Lim, D.F.; Chong, G.Y.; Li, K.H. Low temperature wafer-level bonding for hermetic packaging of 3D microsystems. J. Micromech. Microeng. 2011, 21, 075006. [Google Scholar] [CrossRef]
  14. Fan, J.; Lim, D.F.; Tan, C.S. Effects of surface treatment on the bonding quality of wafer-level Cu-to-Cu thermo-compression bonding for 3D integration. J. Micromech. Microeng. 2013, 23, 045025. [Google Scholar] [CrossRef]
  15. Shie, K.C.; Juang, J.Y.; Chen, C. Instant Cu-to-Cu direct bonding enabled by <111>-oriented nanotwinned Cu bumps. Jpn. J. Appl. Phys. 2019, 59, SBBA03. [Google Scholar] [CrossRef]
  16. Tran, D.-P.; Chen, K.-J.; Tu, K.N.; Chen, C.; Chen, Y.-T.; Chung, S. Electrodeposition of slanted nanotwinned Cu foils with high strength and ductility. Electrochim. Acta 2021, 389, 138640. [Google Scholar] [CrossRef]
  17. Cheng, H.-Y.; Tran, D.-P.; Tu, K.N.; Chen, C. Effect of deposition temperature on mechanical properties of nanotwinned Cu fabricated by rotary electroplating. Mater. Sci. Eng. A 2021, 811, 141065. [Google Scholar] [CrossRef]
  18. Li, Y.-J.; Tu, K.N.; Chen, C. Tensile Properties and Thermal Stability of Unidirectionally <111>-oriented Nanotwinned and <111>-oriented Microtwinned Copper. Materials 2020, 13, 1211. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  19. Hung, Y.-W.; Tran, D.-P.; Chen, C. Effect of Cu Ion Concentration on Microstructures and Mechanical Properties of Nanotwinned Cu Foils Fabricated by Rotary Electroplating. Nanomaterials 2021, 11, 2135. [Google Scholar] [CrossRef]
  20. Tseng, I.H.; Hsu, P.N.; Lu, T.L.; Tu, K.N.; Chen, C. Electromigration failure mechanisms of <111>-oriented nanotwinned Cu redistribution lines with polyimide capping. Results Phys. 2021, 24, 104154. [Google Scholar] [CrossRef]
  21. Hsiao, H.Y.; Liu, C.M.; Lin, H.W.; Liu, T.C.; Lu, C.L.; Huang, Y.S.; Chen, C.; Tu, K.N. Unidirectional growth of microbumps on <111>-oriented and nanotwinned copper. Science 2012, 336, 1007–1010. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  22. Tseng, C.H.; Chen, C. Growth of highly <111>-Oriented nanotwinned Cu with the addition of sulfuric acid in CuSO4 based electrolyte. Cryst. Growth Des. 2018, 19, 81–89. [Google Scholar] [CrossRef]
  23. Fujino, M.; Akaike, M.; Matsuoka, N.; Suga, T. Reduction reaction analysis of nanoparticle copper oxide for copper direct bonding using formic acid. Jpn. J. Appl. Phys. 2017, 56, 04CC01. [Google Scholar] [CrossRef]
  24. Koyama, S.; Hagiwara, N.; Shohji, I. Cu/Cu direct bonding by metal salt generation bonding technique with organic acid and persistence of reformed layer. Jpn. J. Appl. Phys. 2015, 54, 030216. [Google Scholar] [CrossRef] [Green Version]
  25. Liu, C.M.; Lin, H.W.; Huang, Y.S.; Chu, Y.C.; Chen, C.; Lyu, D.R.; Chen, K.N.; Tu, K.N. Low-temperature direct copper-to-copper bonding enabled by creep on <111> surfaces of nanotwinned Cu. Sci. Rep. 2015, 5, 9734. [Google Scholar] [CrossRef] [PubMed] [Green Version]
  26. Juang, J.Y.; Lu, C.L.; Li, Y.J.; Tu, K.N.; Chen, C. Correlation between the microstructures of bonding interfaces and the shear strength of Cu-to-Cu joints using <111>-oriented and nanotwinned Cu. Materials 2018, 11, 2368. [Google Scholar] [CrossRef] [Green Version]
  27. Nitta, T.; Ohmi, T.; Otsuki, M.; Takewaki, T.; Shibata, T. Electrical Properties of Giant-Grain Copper Thin Films Formed by a Low Kinetic Energy Particle Process. J. Electrochem. Soc. 1992, 139, 922. [Google Scholar] [CrossRef]
  28. Chen, K.N.; Tan, C.S.; Fan, A.; Reif, R. Morphology and bond strength of copper wafer bonding. Electrochem. Solid-State Lett. 2003, 7, G14. [Google Scholar] [CrossRef]
Figure 1. (a) Bonded test vehicle. (b) Layout of the tested vehicle. (c) Enlarged layout of the Kelvin structure at squared area at (b). (d) Schematic of the Kelvin structure in the tested vehicle.
Figure 1. (a) Bonded test vehicle. (b) Layout of the tested vehicle. (c) Enlarged layout of the Kelvin structure at squared area at (b). (d) Schematic of the Kelvin structure in the tested vehicle.
Metals 11 01864 g001
Figure 2. (a) Electrodeposition of nt-Cu microbumps by DC current on an 8-inch patterned wafer. The diameter of nt-Cu microbump is 30 µm and the pitch is 80 µm. (b) CMP process of 8” patterned wafers. The bump surface roughness (Rq) is below 5 nm. (c) Schematic of test vehicles in this study: 6 × 6 mm2 for top die and 15 × 15 mm2 for bottom die. (d) Before the bonding process, bonding surface was cleaned by citric acid and rinsed by deionized water. (e) Each die was then dried with N2 gas. (f) Top die and bottom die were set on the bonding head and stage to align by alignment marks. The first step bonding under 31 MPa, 47 MPa and 93 MPa compression at 300 °C within 10 s was performed in N2 ambient.
Figure 2. (a) Electrodeposition of nt-Cu microbumps by DC current on an 8-inch patterned wafer. The diameter of nt-Cu microbump is 30 µm and the pitch is 80 µm. (b) CMP process of 8” patterned wafers. The bump surface roughness (Rq) is below 5 nm. (c) Schematic of test vehicles in this study: 6 × 6 mm2 for top die and 15 × 15 mm2 for bottom die. (d) Before the bonding process, bonding surface was cleaned by citric acid and rinsed by deionized water. (e) Each die was then dried with N2 gas. (f) Top die and bottom die were set on the bonding head and stage to align by alignment marks. The first step bonding under 31 MPa, 47 MPa and 93 MPa compression at 300 °C within 10 s was performed in N2 ambient.
Metals 11 01864 g002
Figure 3. Cross-sections of (a) top die and (b) bottom die microbumps were analyzed by FIB. (c) Bonding surface orientation in normal direction of the nt-Cu microbumps analyzed by EBSD and calculated by OIM in around 40% of <111>-preferred orientation. (d) Cu microbumps before CMP. (e) Cu microbumps after CMP.
Figure 3. Cross-sections of (a) top die and (b) bottom die microbumps were analyzed by FIB. (c) Bonding surface orientation in normal direction of the nt-Cu microbumps analyzed by EBSD and calculated by OIM in around 40% of <111>-preferred orientation. (d) Cu microbumps before CMP. (e) Cu microbumps after CMP.
Metals 11 01864 g003
Figure 4. Process profile of temperature and bonding force vs. bonding time in the first step with an applied bonding force of 93 MPa.
Figure 4. Process profile of temperature and bonding force vs. bonding time in the first step with an applied bonding force of 93 MPa.
Metals 11 01864 g004
Figure 5. (a) Cross-sectional FIB electron (b) ion images of the microbumps bonded at 93 MPa/10 s under 300 °C.
Figure 5. (a) Cross-sectional FIB electron (b) ion images of the microbumps bonded at 93 MPa/10 s under 300 °C.
Metals 11 01864 g005
Figure 6. Process profile of temperature and bonding force vs. bonding time in the first step with an applied bonding force of 47 MPa.
Figure 6. Process profile of temperature and bonding force vs. bonding time in the first step with an applied bonding force of 47 MPa.
Metals 11 01864 g006
Figure 7. (a) Cross-sectional FIB electron and (b) ion images of the microbumps bonded under 47 MPa/10 s at 300 °C.
Figure 7. (a) Cross-sectional FIB electron and (b) ion images of the microbumps bonded under 47 MPa/10 s at 300 °C.
Metals 11 01864 g007
Figure 8. Process profile of temperature and bonding force vs. bonding time in the first step with an applied bonding force of 31 MPa.
Figure 8. Process profile of temperature and bonding force vs. bonding time in the first step with an applied bonding force of 31 MPa.
Metals 11 01864 g008
Figure 9. (a) Cross-sectional FIB electron and (b) ion images of the microbumps bonded under 31 MPa/10 s at 300 °C.
Figure 9. (a) Cross-sectional FIB electron and (b) ion images of the microbumps bonded under 31 MPa/10 s at 300 °C.
Metals 11 01864 g009
Figure 10. Process profile of temperature and bonding force vs. bonding time under the second step (post-annealing) process.
Figure 10. Process profile of temperature and bonding force vs. bonding time under the second step (post-annealing) process.
Metals 11 01864 g010
Figure 11. Cross-sectional FIB electron and ion images of the microbumps bonded under (a,b) 93 MPa/10 s, (c,d) 47 MPa/10 s, (e,f) 31 MPa/10 s. All samples were further heat-treated under 47 MPa/1 h/300 °C.
Figure 11. Cross-sectional FIB electron and ion images of the microbumps bonded under (a,b) 93 MPa/10 s, (c,d) 47 MPa/10 s, (e,f) 31 MPa/10 s. All samples were further heat-treated under 47 MPa/1 h/300 °C.
Metals 11 01864 g011
Figure 12. Schematic of die shear test. Shear height was set at 200 µm and the shear speed was set at 100 µm/s.
Figure 12. Schematic of die shear test. Shear height was set at 200 µm and the shear speed was set at 100 µm/s.
Metals 11 01864 g012
Figure 13. Shear strength of the as-bonded and post-annealed samples under various bonding conditions.
Figure 13. Shear strength of the as-bonded and post-annealed samples under various bonding conditions.
Metals 11 01864 g013
Figure 14. (a) Brittle and (b) ductile fractures in the microbumps after die shear tests.
Figure 14. (a) Brittle and (b) ductile fractures in the microbumps after die shear tests.
Metals 11 01864 g014
Table 1. Number of opened joints detected among 35 bumps measured by a 4-point-probe technique.
Table 1. Number of opened joints detected among 35 bumps measured by a 4-point-probe technique.
ConditionsAs-BondedPost-Annealed
300 °C/93 MPa/10 s0/350/35
300 °C/47 MPa/10 s8/350/35
300 °C/31 MPa/10 s14/350/35
Table 2. Bonding conditions of the first step bonding process.
Table 2. Bonding conditions of the first step bonding process.
ConditionTemperature (°C)Pressure (MPa)Time (s)
13009310
23004710
33003110
Table 3. Bonding conditions of the second step bonding process.
Table 3. Bonding conditions of the second step bonding process.
ConditionTemperature (°C)Pressure (MPa)Time (h)
1300471
2300471
3300471
Table 4. Percentage of ductile fracture in a total of 4548 microbumps.
Table 4. Percentage of ductile fracture in a total of 4548 microbumps.
ConditionsAs-BondedPost-Annealed
300 °C/93 MPa/10 s20%-
300 °C/47 MPa/10 s3%50%
300 °C/31 MPa/10 s1%-
Table 5. Measured resistance of the bumps with a Kelvin structure.
Table 5. Measured resistance of the bumps with a Kelvin structure.
Conditions/Resistance (mΩ)As-BondedPost-Annealed
300 °C/93 MPa/10 s3.1 ± 0.163 ± 0.26
300 °C/47 MPa/10 s2.8 ± 0.062.73 ± 0.15
300 °C/31 MPa/10 s2.9 ± 0.362.72 ± 0.29
Publisher’s Note: MDPI stays neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Share and Cite

MDPI and ACS Style

Ong, J.-J.; Tran, D.-P.; Yang, S.-C.; Shie, K.-C.; Chen, C. Shearing Characteristics of Cu-Cu Joints Fabricated by Two-Step Process Using Highly <111>-Oriented Nanotwinned Cu. Metals 2021, 11, 1864. https://doi.org/10.3390/met11111864

AMA Style

Ong J-J, Tran D-P, Yang S-C, Shie K-C, Chen C. Shearing Characteristics of Cu-Cu Joints Fabricated by Two-Step Process Using Highly <111>-Oriented Nanotwinned Cu. Metals. 2021; 11(11):1864. https://doi.org/10.3390/met11111864

Chicago/Turabian Style

Ong, Jia-Juen, Dinh-Phuc Tran, Shih-Chi Yang, Kai-Cheng Shie, and Chih Chen. 2021. "Shearing Characteristics of Cu-Cu Joints Fabricated by Two-Step Process Using Highly <111>-Oriented Nanotwinned Cu" Metals 11, no. 11: 1864. https://doi.org/10.3390/met11111864

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop