Next Article in Journal
Elaboration of Prussian Blue Analogue/Silica Nanocomposites: Towards Tailor-Made Nano-Scale Electronic Devices
Next Article in Special Issue
Time Dependent Dielectric Breakdown in Copper Low-k Interconnects: Mechanisms and Reliability Models
Previous Article in Journal
X-ray Photoelectron Spectroscopy (XPS) Depth Profiling for Evaluation of La2Zr2O7 Buffer Layer Capacity
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

A Study of Trimethylsilane (3MS) and Tetramethylsilane (4MS) Based α-SiCN:H/α-SiCO:H Diffusion Barrier Films

1
Department of Materials Engineering, National Cheng Kung University, Tainan 70101, Taiwan
2
Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan
3
Institute of Lighting and Energy Photonics, National Chiao Tung University, Hsinchu 30050, Taiwan
*
Author to whom correspondence should be addressed.
Materials 2012, 5(3), 377-384; https://doi.org/10.3390/ma5030377
Submission received: 19 December 2011 / Revised: 31 January 2012 / Accepted: 15 February 2012 / Published: 2 March 2012
(This article belongs to the Special Issue Low k Dielectic Materials)

Abstract

:
Amorphous nitrogen-doped silicon carbide (α-SiCN:H) films have been used as a Cu penetration diffusion barrier and interconnect etch stop layer in the below 90-nanometer ultra-large scale integration (ULSI) manufacturing technology. In this study, the etching stop layers were deposited by using trimethylsilane (3MS) or tetramethylsilane (4MS) with ammonia by plasma-enhanced chemical vapor deposition (PECVD) followed by a procedure for tetra-ethoxyl silane (TEOS) oxide. The depth profile of Cu distribution examined by second ion mass spectroscopy (SIMs) showed that 3MS α-SiCN:H exhibited a better barrier performance than the 4MS film, which was revealed by the Cu signal. The FTIR spectra also showed the intensity of Si-CH3 stretch mode in the α-SiCN:H film deposited by 3MS was higher than that deposited by 4MS. A novel multi structure of oxygen-doped silicon carbide (SiC:O) substituted TEOS oxide capped on 4MS α-SiC:N film was also examined. In addition to this, the new multi etch stop layers can be deposited together with the same tool which can thus eliminate the effect of the vacuum break and accompanying environmental contamination.

1. Introduction

As Ultra Large-Scale Integrated circuits (ULSIs) have been reduced to ultra deep submicron dimensions, signal propagation delay, cross talk, and power consumption have drastically increased due to the parasitic capacitance of intra-level interconnection. Copper (Cu) interconnection and low dielectric k (k < 3.0) materials have been used from 0.13 um technology to reduce the RC delay time, interconnection resistance and interlayer capacitance [1,2]. However, the Cu interconnection has several disadvantages for application in process technology. For example, a Cu film is easily oxidized, and Cu atoms or ions easily diffuse into low k interlayer dielectrics by thermal annealing or with electric fields [3]. Thus, it is desirable to develop new materials with a lower k-value to further reduce the effective dielectric constant of the Cu interconnect system [4,5]. It is also known that Cu is a serious source of contamination for both silicon and silicon dioxide. To prevent Cu from diffusion into the dielectric material, Cu must be sealed using diffusion barriers. A dielectric diffusion barrier layer must be deposited on Cu wires to seal the Cu and to serve as the etch stop layer during the via etch of the next metal layer [6,7]. In 90 nm and 65 nm technology, dual layers of composite α-SICN:H/TEOS oxide were used as a Cu diffusion barrier. The role of the first layer, α-SICN:H, is as a Cu diffusion barrier and etch stop layer. The second layer TEOS oxide is used to prevent PR poisoning. In this work, we investigate the dielectric constants between measurement and theoretically calculated, the chemical bonding configuration, and the potential of the Cu diffusion barrier with a bi-layer structured α-SiCN:H/TEOS barrier [8].

2. Experimental Section

The SiCO- or SiCN-base films on a copper layer have been widely used in the copper dual damascene process as a copper ion barrier layer [9,10]. Moreover the influences of the etching-stop-layer, silicon carbide (SiC) barrier cap layer, and deposition process on electro migration (EM) and stress migration (SM) have been reported [4,11]. The copper and barrier layer interface is the dominant path for copper migration [5,11,12]. One of the reliability issues in Cu metallization is dielectric degradation caused by Cu ion penetration. Copper could rapidly drift into silica-based low-k dielectrics during bias-temperature stressing [13]. In this article, it was demonstrated that a novel multi structure of an oxygen-doped SiC and nitrogen-doped SiC deposited at 350 °C showed excellent Cu blocking capability.
The 3MS and 4MS SiC samples were prepared at 350 °C by 300 mm Producer SETM PECVD of Applied Material and 300 mm Vector TM PECVD of Novellus, respectively. Sample preparations followed experimental conditions on 300 mm p-type silicon wafers. The deposition conditions are listed in Table 1.
(1)
Optical thickness and k test method:
300 mm KLA-Tencor FX-100, 633 nm, and Quantox measured thickness and k value, respectively.
(2)
FTIR test method:
FTIR test method was carried out by 300 mm BIO-RAD SS-3300 spectrometer of Accent. Sample preparations followed experimental conditions on 300mm p-type silicon wafer.
(3)
SIMs:
Second Ion Mass Spectroscopy was used to measure the depth profile of Cu. Samples were prepared, as figure 1, by physical vapor deposition 250 A TaN/Ta on a 750 µm bare silicon wafer. In order to provide copper electrochemical plating 5000A, the wafers were deposited with a 750A copper seed layer by physical vapor deposition. After chemical mechanical polishing back to 4000A, all dielectric samples were deposited.
Table 1. Deposition condition of trimethylsilane (3MS) or tetramethylsilane (4MS)-base films.
Table 1. Deposition condition of trimethylsilane (3MS) or tetramethylsilane (4MS)-base films.
Sample identification4MS3MSTEOS a
N a-SiCN:HN a-SiCO:HA a-SiCN:HSiCO:HPEOX
Pressure (torr)2.0~3.03.0~4.01.5
HFRF b550~600450~600200
LFRF b900-080800
N/Si ratio2.06-1.84--
C/Si ratio-6.55---
O/Si ratio---2.012,000
a: TEOS: tetraethoxyl silane; b: HFRF: High Frequency Radio Frequency; LFRF: Low Frequency Radio Frequency.
Figure 1. Sample prepared film stacked.
Figure 1. Sample prepared film stacked.
Materials 05 00377 g001

3. Results and Discussion

Table 2 shows the measurements of optical thickness, electrical thickness and dielectric constant k of the samples, deposited on bare Si wafers. It can be seen that all the dielectric constants k of the samples were comparable with previous literature. However, comparing the A α-SiCN:H with N α-SiCN:H films, the dielectric k of N α-SiCN:H film is higher than A α-SiCN:H film by about 15%. It is worth noting that the main precursors of A α-SiCN:H and N α-SiCN:H films are 3MS and 4MS, respectively, and the structure of N α-SiCN:H film in principle contains more methyl bonds (-CH3) than A α-SiCN:H film which should lead to a lower dielectric constant. However, as illustrated in Table 1, the N/Si gas ratios of A α-SiCN:H film and N α-SiCN:H film are 1.84 and 2.06, respectively [6]. It implies that more N atoms react with methyl-silane during N α-SiCN:H film deposition. Besides this difference, the A α-SiCN:H film deposited without low frequency RF (LFRF) but N α-SiCN:H film deposited with 920 W LFRF. LFRF would increase ion bombardment during deposition and increase the film density. These two differences may act as factors for increasing the dielectric constant in the N α-SiCN:H film deposition process.
Table 2. Dielectric constant k measurements.
Table 2. Dielectric constant k measurements.
Sample ConditionThicknessK
OpticalElectrical
0SiOC:H387 nm498 nm3.04
1 A α-SiCN:H55 nm44 nm4.81
2 N α-SiCN:H57 nm39 nm5.68
3 N α-SiCO:H30 nm26 nm4.46
4 PEOX30 nm28 nm4.23
N α-SiCN:H + PEOX + SiOC:H470 nm540 nm3.41
N α-SiCN:H + N α-SiCO:H + SiOC:H470 nm544 nm3.39
0: SiOC:H represents organic silicon glass deposition by Applied Material; 1: A α-SiCN:H represents nitrogen-doped silicon carbide deposited by Applied Material; 2: N α-SiCN:H represents nitrogen-doped silicon carbide deposited by Novellus; 3: N α-SiCO:H represents oxygen-doped silicon carbide deposited by Novellus; 4: PEOX (plasma enhanced oxide) deposited by Novellus.
Figure 2 shows the FTIR spectroscopy for 3MS A α-SICN:H film and 4MS N α-SICN:H film. The FTIR spectrum serves as an indication of the bond vibration in thin films. For α-SiCN:H film prepared by CVD, the existence of H element makes their FTIR spectrum very complex. The IR spectrum shows absorption peaks at around 1,257, 2,133, 2,900 cm−1, corresponding to Si-CH3 stretching, SiHn (n = 1~3), and CHm (m = 1~3) stretching mode, respectively. These spectra suggest that a Si-C network is indeed formed with the incorporation of a large amount of H. From the IR spectra, the peak relative intensity of the Si-CH3 peak for A α-SICN:H film is slightly stronger than the peak for N α-SICN:H film. It implies that the qualitative termination bonding content in N α-SICN:H film is less than in A α-SICN:H film, and gives the N α-SICN:H film a higher density. The reduction in dielectric constant of α-SiCN:H by using 3MS gas is largely due to the decreased density caused by incorporation of Si-CH3 groups. The broader peak of 790–1,020 cm−1 shown in Figure 2 can be split into mixed Si-C stretching (796 cm−1), Si-C in Si-(CH2)n-Si (814 cm−1), Si-N stretching (940 cm−1), and Si-(CH2)n-Si (1,000 cm−1) vibration mode as shown in Figure 3. Apparently, the A α-SICN:H film showed a small peak of Si-C stretching mode at the wavenumber of 790 cm−1 but it was not found in N α-SICN:H film. Besides this variation in bonding configuration, it is believed that the α-SiCN:H film deposited with different precursors would make the film microstructure and properties different.
The copper diffusion barrier properties of the α-SiCN:H films were tested. Analytical characterization was performed using secondary ion mass spectroscopy (SIMs). Figure 4 shows the depth profile of Cu in the testing film stack. Cu is deposited on a blanket wafer using TaN/Ta/Cu seed layer followed by electroplating, and the Cu deposition. For the structure including a dual layer barrier with A α-SICN:H or N α-SICN:H film at the interface between Cu , a 3850A thickness SiOC:H film was deposited.. The dashed line illustrated in Figure 4 is the Si depth profile, which was used to define the boundary of layers for the test sample. A noticeable diffusion of Cu in SiOC:H was observed when α-SiCN:H was deposited using 4MS and PEOX films. However, it could be found that Cu resisted diffusion in A α-SICN:H (red line) film, successfully. This phenomenon was incompatible with the result as mentioned above. On the other hand, there exists large variations in microstructure on α-SiCN:H film deposited by using 3MS or 4MS. In order to resolve the Cu diffusion into SiOC:H film, a new scheme of dual layer barrier was developed. Following the N α-SiCN:H film deposition, a N α-SiCO:H with 300 Å thick film was deposited by using 4MS substitute for PEOX 300A, plasma enhanced oxide. This new scheme of dual layer barrier can resist Cu diffusion into SiOC:H film successfully as shown in Figure 4 (green line).
Figure 2. The FTIR spectra of α-SiCN:H film deposited by trimethylsilane (3MS) (a) and tetramethylsilane (4MS) (b).
Figure 2. The FTIR spectra of α-SiCN:H film deposited by trimethylsilane (3MS) (a) and tetramethylsilane (4MS) (b).
Materials 05 00377 g002
Figure 3. FTIR spectra of α-SiCN:H (a) A α-SiCN:H and (b) N α-SiCN:H films, which can be split into three peaks, corresponding to Si-C, Si-N, and Si-(CH2)n-Si, respectively.
Figure 3. FTIR spectra of α-SiCN:H (a) A α-SiCN:H and (b) N α-SiCN:H films, which can be split into three peaks, corresponding to Si-C, Si-N, and Si-(CH2)n-Si, respectively.
Materials 05 00377 g003
Figure 4. Second Ion Mass Spectroscopy (SIMs) depth profile of Cu in A α-SiCN:H 500+PEOX 300 Cu (red line), N α-SiCN:H 550+PEOX 300 (blue line), and N α-SiCN:H 550+ N α-SiCO:H 300 (green line) dual layer dielectric barrier films.
Figure 4. Second Ion Mass Spectroscopy (SIMs) depth profile of Cu in A α-SiCN:H 500+PEOX 300 Cu (red line), N α-SiCN:H 550+PEOX 300 (blue line), and N α-SiCN:H 550+ N α-SiCO:H 300 (green line) dual layer dielectric barrier films.
Materials 05 00377 g004

4. Conclusions

The dielectric constant of a measured test film stacking layer composite dual layer diffusion barrier for 65 nm and 45 nm technology has been studied. The dielectric constant of α-SiCN:H film deposited by using 4MS (5.68) is higher than by using 3MS (4.81). From the IR spectra, the termination bond of Si-CH3 has stronger intensity in the A α-SICN:H film, and suggests this would make the film more porous. However, the variation in microstructure for α-SiCN:H films deposited by using 3MS or 4MS can not be identified clearly. On the other hand, the Cu depth profile examined by SIMs showed that Cu would penetrate through the N α-SICN:H film deposited by using 4MS. Conversely, A α-SICN:H film deposited by using 3MS can resist the Cu diffusion into the SiOC:H film successfully. The result is incompatible with the results of dielectric constant and IR spectra. In order to prevent Cu penetration through the barrier film into SiOC:H, a new scheme of N α-SiCN:H/N α-SiCO:H barrier film was developed to substitute the original N α-SICN:H/PEOX barrier film. From the results examined by SIMs, a good performance on Cu diffusion resistance was shown that was consistent with EM and SM testing. In addition, the new scheme can be deposited in-situ with the same tool.

Acknowledgments

The authors thank National Cheng Kung University, Tainan, Taiwan for its technical support.

References

  1. Schurr, M.; Brandl, D.; Tomaschko, Ch.; Schoppmann, Ch.; Voit, H. Langmuir—Blodgett films made from yttrium arachidate. Thin Solid Films 1995, 261, 271–274. [Google Scholar]
  2. Chiang, C.C.; Chen, M.C.; Ko, C.C.; Wu, Z.C.; Jang, S.M.; Liang, M.S. Physical and barrier properties of plasma-enhanced chemical vapor deposited α-SiC:H films from trimethylsilane and tetramethylsilane. Jpn. J. Appl. Phy. 2003, 42, 4273–4277. [Google Scholar] [CrossRef]
  3. Chang, S.Y.; Chang, J.Y.; Lin, S.J.; Tsai, H.C.; Chang, Y.S. Interface chemistry and adhesion strength between porous sioch low-k film and sicn layers. J. Electrochem. Soc. 2008, 155, G39–G43. [Google Scholar] [CrossRef]
  4. Ishii, A.; Matsumoto, S.; Hattori, T.; Suzuki, S.; Isono, S.; Iwasaki, A.; Tomita, K.; Hashimoto, K.; Tawa, S.; Furusawa, T.; et al. Interface engineering for highly-reliable 65 nm-node Cu/ULK (k = 2.6) interconnect integration. In Proceedings of the IEEE 2005 International Conference, Burlingame, CA, USA, 2005; pp. 21–23.
  5. Chen, C.W.; Chang, T.C.; Liu, P.T.; Tsai, T.M.; Tseng, T.Y. Effects of oxygen plasma ashing on barrier dielectric SiCN film. Electrochem. Solid-State Lett. 2005, 8, G11–G13. [Google Scholar] [CrossRef]
  6. Hatano, M.; Usui, T.; Shimooka, Y.; Kaneko, H. EM lifetime improvement of Cu damascene interconnects by p-SiC cap layer. In Proceedings of the IEEE 2002 International Conference, Burlingame, CA, USA, 7 August 2002; pp. 212–214.
  7. Cui, H.; Burke, P.A. Time-dependent dielectric breakdown studies of PECVD H:SiCN and H:SiC thin films for copper metallization. J. Electrochem. Soc. 2004, 151, G795–G800. [Google Scholar] [CrossRef]
  8. Tsui, B.Y.; Fang, K.L.; Lee, S.D. Electrical instability of low-dielectric constant diffusion barrier film (a-SiC:H) for copper interconnect. IEEE Trans. Electron. Devices 2001, 48, 2375–2383. [Google Scholar] [CrossRef]
  9. Nakamura, N.; Takigawa, Y.; Soda, E.; Hosoi, N.; Tarumi, Y.; Aoyama, H.; Tanaka, Y.; Kawamura, D.; Ogawa, S.; Oda, N.; Kondo, S.; Mori, I.; Saito, S. Design impact study of wiring size and barrier metal on device performance toward 22 nm-node featuring EUV lithography. In Proceedings of the Interconnect Technology Conference, Sapporo, Hokkaido, Japan, 2009; pp. 14–16.
  10. Zhang, D.H.; Yang, L.Y.; Li, C.Y.; Lu, P.W.; Foo, P.D. Ta/SiCN bilayer barrier for Cu-ultra low k integration. Thin Solid Films 2006, 504, 235–238. [Google Scholar] [CrossRef]
  11. Biggerstaff, T.L.; Reynolds, C.L.; Zheleva, T.; Leis, A.; Habersat, D.; Haney, S.; Ryu, S.H.; Agarwi, A.; Duscher, G. Relationship between 4H-SiC/SiO2 transition layer thickness and mobility. Appl. Phys. Lett. 2009, 95, 032108–032110. [Google Scholar] [CrossRef]
  12. Hu, C.K.; Rosenberg, R.; Rathore, H.S.; Nguyen, D.B.; Agarwala, B. Scaling effect on electromigration in on-chip Cu wiring. In Proceedings of the Interconnect Technology, San Francisco, CA, USA, 24–26 May 1999; pp. 267–269.
  13. Chen, C.W.; Liu, P.T.; Chang, T.C.; Yang, J.H.; Tsai, T.M.; Wu, H.H.; Tseng, T.Y. Cu-penetration induced breakdown mechanism for a-SiCN. Thin Solid Films 2004, 469–470, 388–392. [Google Scholar] [CrossRef]

Share and Cite

MDPI and ACS Style

Chen, S.-W.; Wang, Y.-S.; Hu, S.-Y.; Lee, W.-H.; Chi, C.-C.; Wang, Y.-L. A Study of Trimethylsilane (3MS) and Tetramethylsilane (4MS) Based α-SiCN:H/α-SiCO:H Diffusion Barrier Films. Materials 2012, 5, 377-384. https://doi.org/10.3390/ma5030377

AMA Style

Chen S-W, Wang Y-S, Hu S-Y, Lee W-H, Chi C-C, Wang Y-L. A Study of Trimethylsilane (3MS) and Tetramethylsilane (4MS) Based α-SiCN:H/α-SiCO:H Diffusion Barrier Films. Materials. 2012; 5(3):377-384. https://doi.org/10.3390/ma5030377

Chicago/Turabian Style

Chen, Sheng-Wen, Yu-Sheng Wang, Shao-Yu Hu, Wen-Hsi Lee, Chieh-Cheng Chi, and Ying-Lang Wang. 2012. "A Study of Trimethylsilane (3MS) and Tetramethylsilane (4MS) Based α-SiCN:H/α-SiCO:H Diffusion Barrier Films" Materials 5, no. 3: 377-384. https://doi.org/10.3390/ma5030377

Article Metrics

Back to TopTop