materials-logo

Journal Browser

Journal Browser

Silicon Carbide and Related Materials for Energy Saving Applications—Select Papers from E-MRS 2019—Symposium “Silicon Carbide and Related Materials for Energy Saving Applications"

A special issue of Materials (ISSN 1996-1944). This special issue belongs to the section "Energy Materials".

Deadline for manuscript submissions: closed (30 September 2019) | Viewed by 56624

Special Issue Editors


E-Mail Website
Guest Editor
Friedrich-Alexander University of Erlangen-Nürnberg, Germany (Crystal Growth Lab, Materials Department 6, Martensstr. 7, D-91058 Erlangen, Germany)
Interests: bulk crystal growth and the characterization of semiconductors

E-Mail Website
Guest Editor
IMM-CNR sezione di Catania, Strada VIII 5 - Zona Industriale, I-95121 Catania, Italy
Interests: silicon carbide; growth; defects; MEMS; detectors
Special Issues, Collections and Topics in MDPI journals

E-Mail Website
Guest Editor
School of Engineering, University of Warwick, Coventry CV4 7AL, UK
Interests: electronic device fabrication and testing

Special Issue Information

Dear Colleagues,

SYMPOSIUM X on Silicon Carbide & Related Materials for Energy Saving Applications is part of the Spring Meeting 2019 of the European Materials Research Society that takes place on 27–31 May 2019 in Nice, France (Deadline for abstract submission: 15 January 2019: www.european-mrs.com). This event is organized in conjunction with the International Conference on Advanced Materials (ICAM) of the International Union of Materials Research Societies (IUMRS). The scientific programme will highlight the latest advances in materials research at an international level, with a strong emphasis on interdisciplinary research in both fundamental and applied areas. The technical program will include 28 symposia organized into 6 topical clusters: Materials for Energy / Bio- and Soft Materials / Nano-functional Materials / 2 Dim Materials / Materials, Electronics and Photonics / Modelling and Characterizations

SYMPOSIUM X on Silicon Carbide & Related Materials focuses electronic materials for energy saving that are of particular interest to meet the accelerating demand of the global energy consumption. Engineering of the wide band-gap semiconductor silicon carbide plays a key role because it provides excellent physical properties that go beyond the semiconductor silicon.

Hot topics to be covered by the symposium:

  • bulk growth and epitaxy of SiC
  • defect characterization and defect engineering in SiC
  • processing
  • device fabrication (diodes, MOSFETs, bipolar switches, and others)
  • power electronic systems (e.g., AC–DC, DC–DC converters, and others for e-drive, photovoltaics, and wind energy)
  • interfaces of SiC to GaN and graphene, novel electro-optical applications
  • related materials and novel applications for GaN, b-Ga2O3, and graphene.

Tentative list of invited speakers:

  • Noboru Ohtani (KWANSEI, Japan), Review on bulk growth of SiC
  • Michael Dudley (Stony Brook University, USA, Defect evaluation in bulk SiC
  • Phillippe Gordignon (CNM, Spain), Devices and processing of SiC devices
  • Haiyan Ou (DTU, Denmark), Novel optical applications—SiC LEDs and waveguides
  • Fabrizio Roccaforte (CNM, Italy), Related materials—GaN processing and devices
  • Ekaterine Chikoidze (Univ. Paris-Saclay, France), Related materials—Gallia: Surprising electronic properties

Prof. Dr. Peter Wellmann
Dr. Francesco LaVia
Prof. Dr. Mike Jennings
Guest Editors

Manuscript Submission Information

Manuscripts should be submitted online at www.mdpi.com by registering and logging in to this website. Once you are registered, click here to go to the submission form. Manuscripts can be submitted until the deadline. All submissions that pass pre-check are peer-reviewed. Accepted papers will be published continuously in the journal (as soon as accepted) and will be listed together on the special issue website. Research articles, review articles as well as short communications are invited. For planned papers, a title and short abstract (about 100 words) can be sent to the Editorial Office for announcement on this website.

Submitted manuscripts should not have been published previously, nor be under consideration for publication elsewhere (except conference proceedings papers). All manuscripts are thoroughly refereed through a single-blind peer-review process. A guide for authors and other relevant information for submission of manuscripts is available on the Instructions for Authors page. Materials is an international peer-reviewed open access semimonthly journal published by MDPI.

Please visit the Instructions for Authors page before submitting a manuscript. The Article Processing Charge (APC) for publication in this open access journal is 2600 CHF (Swiss Francs). Submitted papers should be well formatted and use good English. Authors may use MDPI's English editing service prior to publication or during author revisions.

Keywords

  • silicon carbide
  • wide bandgap semiconductors
  • energy saving

Published Papers (14 papers)

Order results
Result details
Select all
Export citation of selected articles as:

Research

Jump to: Review

8 pages, 2256 KiB  
Article
Influence of Oxygen–Plasma Treatment on In-Situ SiN/AlGaN/GaN MOSHEMT with PECVD SiO2 Gate Insulator
by Geunho Cho, Ho-young Cha and Hyungtak Kim
Materials 2019, 12(23), 3968; https://doi.org/10.3390/ma12233968 - 29 Nov 2019
Cited by 4 | Viewed by 3320
Abstract
The influence of oxygen–plasma treatment on in situ SiN/AlGaN/GaN MOS high electron mobility transistor with SiO2 gate insulator was investigated. Oxygen–plasma treatment was performed on in situ SiN, before SiO2 gate insulator was deposited by plasma-enhanced chemical vapor deposition (PECVD). DC [...] Read more.
The influence of oxygen–plasma treatment on in situ SiN/AlGaN/GaN MOS high electron mobility transistor with SiO2 gate insulator was investigated. Oxygen–plasma treatment was performed on in situ SiN, before SiO2 gate insulator was deposited by plasma-enhanced chemical vapor deposition (PECVD). DC I-V characteristics were not changed by oxygen plasma treatment. However, pulsed I-V characteristics were improved, showing less dispersion compared to non-treated devices. During short-term gate bias stress, the threshold voltage shift was also smaller in a treated device than in an untreated one. X-ray photoemission spectroscopy also revealed that SiO2 on in situ SiN with oxygen–plasma treatment has an O/Si ratio close to the theoretical value. This suggests that the oxygen plasma treatment-modified surface condition of the SiN layer is favorable to SiO2 formation by PECVD. Full article
Show Figures

Figure 1

11 pages, 3698 KiB  
Article
Comparison of Achievable Contrast Features in Computed Tomography Observing the Growth of a 4H-SiC Bulk Crystal
by Michael Salamon, Matthias Arzig, Peter J. Wellmann and Norman Uhlmann
Materials 2019, 12(22), 3652; https://doi.org/10.3390/ma12223652 - 06 Nov 2019
Cited by 4 | Viewed by 2104
Abstract
Today the physical vapor transport process is regularly applied for the growth of bulk SiC crystals. Due to the required high temperature of up to 2400 °C, and low gas pressure of several Mbar inside the crucible, the systems are encapsulated by several [...] Read more.
Today the physical vapor transport process is regularly applied for the growth of bulk SiC crystals. Due to the required high temperature of up to 2400 °C, and low gas pressure of several Mbar inside the crucible, the systems are encapsulated by several layers for heating, cooling and isolation inhibiting the operator from observing the growth. Also, the crucible itself is fully encapsulated to avoid impurities from being inserted into the crystal or disturbing the temperature field distribution. Thus, once the crucible has been set up with SiC powder and the seed crystal, the visible access to the progress of growth is limited. In the past, X-ray radiography has allowed this limitation to be overcome by placing the crucible in between an X-ray source and a radiographic film. Recently these two-dimensional attenuation signals have been extended to three-dimensional density distribution by the technique of computed tomography (CT). Beside the classic X-ray attenuation signal dominated by photoelectric effect, Compton effect and Rayleigh scattering, X-ray diffraction resulting in the crystalline structure of the 4H-SiC superimposes the reconstructed result. In this contribution, the achievable material contrast related to the level of X-ray energy and the absorption effects is analyzed using different CT systems with energies from 125 kV to 9 MeV. Furthermore the X-ray diffraction influence is shown by the comparison between the advanced helical-CT method and the classical 3D-CT. Full article
Show Figures

Figure 1

9 pages, 1830 KiB  
Article
Ohmic Contacts on p-Type Al-Implanted 4H-SiC Layers after Different Post-Implantation Annealings
by Monia Spera, Giuseppe Greco, Domenico Corso, Salvatore Di Franco, Andrea Severino, Angelo Alberto Messina, Filippo Giannazzo and Fabrizio Roccaforte
Materials 2019, 12(21), 3468; https://doi.org/10.3390/ma12213468 - 23 Oct 2019
Cited by 10 | Viewed by 3712
Abstract
This paper reports on the electrical activation and Ohmic contact properties on p-type Al-implanted silicon carbide (4H-SiC). In particular, the contacts were formed on 4H-SiC-implanted layers, subjected to three different post-implantation annealing processes, at 1675 °C, 1175 °C, and 1825 °C. Under these [...] Read more.
This paper reports on the electrical activation and Ohmic contact properties on p-type Al-implanted silicon carbide (4H-SiC). In particular, the contacts were formed on 4H-SiC-implanted layers, subjected to three different post-implantation annealing processes, at 1675 °C, 1175 °C, and 1825 °C. Under these post-implantation annealing conditions, the electrical activation of the Al dopant species increased from 39% to 56%. The Ti/Al/Ni contacts showed an Ohmic behavior after annealing at 950 °C. The specific contact resistance ρc could be lowered by a factor of 2.6 with the increase of the post-implantation annealing temperature. The result can be useful for application in device fabrication. Moreover, the dependence of ρc on the active acceptor concentration followed the thermionic field emission model, with a barrier height of 0.63 eV. Full article
Show Figures

Figure 1

12 pages, 4686 KiB  
Article
3C-SiC Growth on Inverted Silicon Pyramids Patterned Substrate
by Massimo Zimbone, Marcin Zielinski, Corrado Bongiorno, Cristiano Calabretta, Ruggero Anzalone, Silvia Scalese, Giuseppe Fisicaro, Antonino La Magna, Fulvio Mancarella and Francesco La Via
Materials 2019, 12(20), 3407; https://doi.org/10.3390/ma12203407 - 18 Oct 2019
Cited by 13 | Viewed by 3605
Abstract
This work reports on the properties of cubic silicon carbide (3C-SiC) grown epitaxially on a patterned silicon substrate composed of squared inverted silicon pyramids (ISP). This compliant substrate prevents stacking faults, usually found at the SiC/Si interface, from reaching the surface. We investigated [...] Read more.
This work reports on the properties of cubic silicon carbide (3C-SiC) grown epitaxially on a patterned silicon substrate composed of squared inverted silicon pyramids (ISP). This compliant substrate prevents stacking faults, usually found at the SiC/Si interface, from reaching the surface. We investigated the effect of the size of the inverted pyramid on the epilayer quality. We noted that anti-phase boundaries (APBs) develop between adjacent faces of the pyramid and that the SiC/Si interfaces have the same polarity on both pyramid faces. The structure of the heterointerface was investigated. Moreover, due to the emergence of APB at the vertex of the pyramid, voids buried on the epilayer form. We demonstrated that careful control of the growth parameters allows modification of the height of the void and the density of APBs, improving SiC epitaxy quality. Full article
Show Figures

Graphical abstract

9 pages, 1922 KiB  
Article
Laser Annealing of P and Al Implanted 4H-SiC Epitaxial Layers
by Cristiano Calabretta, Marta Agati, Massimo Zimbone, Simona Boninelli, Andrea Castiello, Alessandro Pecora, Guglielmo Fortunato, Lucia Calcagno, Lorenzo Torrisi and Francesco La Via
Materials 2019, 12(20), 3362; https://doi.org/10.3390/ma12203362 - 15 Oct 2019
Cited by 13 | Viewed by 3768
Abstract
This work describes the development of a new method for ion implantation induced crystal damage recovery using multiple XeCl (308 nm) laser pulses with a duration of 30 ns. Experimental activity was carried on single phosphorus (P) as well as double phosphorus and [...] Read more.
This work describes the development of a new method for ion implantation induced crystal damage recovery using multiple XeCl (308 nm) laser pulses with a duration of 30 ns. Experimental activity was carried on single phosphorus (P) as well as double phosphorus and aluminum (Al) implanted 4H-SiC epitaxial layers. Samples were then characterized through micro-Raman spectroscopy, Photoluminescence (PL) and Transmission Electron Microscopy (TEM) and results were compared with those coming from P implanted thermally annealed samples at 1650–1700–1750 °C for 1 h as well as P and Al implanted samples annealed at 1650 °C for 30 min. The activity outcome shows that laser annealing allows to achieve full crystal recovery in the energy density range between 0.50 and 0.60 J/cm2. Moreover, laser treated crystal shows an almost stress-free lattice with respect to thermally annealed samples that are characterized by high point and extended defects concentration. Laser annealing process, instead, allows to strongly reduce carbon vacancy (VC) concentration in the implanted area and to avoid intra-bandgap carrier recombination centres. Implanted area was almost preserved, except for some surface oxidation processes due to oxygen leakage inside the testing chamber. However, the results of this experimental activity gives way to laser annealing process viability for damage recovery and dopant activation inside the implanted area. Full article
Show Figures

Figure 1

8 pages, 2715 KiB  
Article
Temperature Investigation on 3C-SiC Homo-Epitaxy on Four-Inch Wafers
by Ruggero Anzalone, Massimo Zimbone, Cristiano Calabretta, Marco Mauceri, Alessandra Alberti, Riccardo Reitano and Francesco La Via
Materials 2019, 12(20), 3293; https://doi.org/10.3390/ma12203293 - 10 Oct 2019
Cited by 15 | Viewed by 2966
Abstract
In this work, results related to the temperature influence on the homo-epitaxial growth process of 3C-SiC is presented. The seed for the epitaxial layer was obtained by an innovative technique based on silicon melting: after the first step of the hetero-epitaxial growth process [...] Read more.
In this work, results related to the temperature influence on the homo-epitaxial growth process of 3C-SiC is presented. The seed for the epitaxial layer was obtained by an innovative technique based on silicon melting: after the first step of the hetero-epitaxial growth process of 3C-SiC on a Si substrate, Si melts, and the remaining freestanding SiC layer was used as a seed layer for the homo-epitaxial growth. Different morphological analyses indicate that the growth temperature and the growth rate play a fundamental role in the stacking faults density. In details, X-ray diffraction and micro-Raman analysis show the strict relationship between growth temperature, crystal quality, and doping incorporation in the homo-epitaxial chemical vapor deposition CVD growth process of a 3C-SiC wafer. Furthermore, photoluminescence spectra show a considerable reduction of point defects during homo-epitaxy at high temperatures. Full article
Show Figures

Figure 1

12 pages, 1874 KiB  
Article
Growth and Coalescence of 3C-SiC on Si(111) Micro-Pillars by a Phase-Field Approach
by Marco Masullo, Roberto Bergamaschini, Marco Albani, Thomas Kreiliger, Marco Mauceri, Danilo Crippa, Francesco La Via, Francesco Montalenti, Hans von Känel and Leo Miglio
Materials 2019, 12(19), 3223; https://doi.org/10.3390/ma12193223 - 01 Oct 2019
Cited by 9 | Viewed by 2655
Abstract
3C-SiC is a promising material for low-voltage power electronic devices but its growth is still challenging. Heteroepitaxy of 3C-SiC on Si micrometer-sized pillars is regarded as a viable method to achieve high crystalline quality, minimizing the effects of lattice and thermal expansion mismatch. [...] Read more.
3C-SiC is a promising material for low-voltage power electronic devices but its growth is still challenging. Heteroepitaxy of 3C-SiC on Si micrometer-sized pillars is regarded as a viable method to achieve high crystalline quality, minimizing the effects of lattice and thermal expansion mismatch. Three-dimensional micro-crystals with sharply-faceted profiles are obtained, eventually touching with each other to form a continuous layer, suspended on the underlying pillars. By comparing experimental data and simulation results obtained by a phase-field growth model, here we demonstrate that the evolution of the crystal morphology occurs in a kinetic regime, dominated by the different incorporation times on the crystal facets. These microscopic parameters, effective to characterize the out-of-equilibrium growth process, are estimated by a best-fitting procedure, matching simulation profiles to the experimental one at different deposition stages. Then, simulations are exploited to inspect the role of a different pillar geometry and template effects are recognized. Finally, coalescence of closely spaced crystals ordered into an hexagonal array is investigated. Two possible alignments of the pattern are compared and the most convenient arrangement is evaluated. Full article
Show Figures

Figure 1

11 pages, 4236 KiB  
Article
Structure and Stability of Partial Dislocation Complexes in 3C-SiC by Molecular Dynamics Simulations
by Andrey Sarikov, Anna Marzegalli, Luca Barbisan, Francesco Montalenti and Leo Miglio
Materials 2019, 12(18), 3027; https://doi.org/10.3390/ma12183027 - 18 Sep 2019
Cited by 6 | Viewed by 2978
Abstract
In this work, the structure and stability of partial dislocation (PD) complexes terminating double and triple stacking faults in 3C-SiC are studied by molecular dynamics simulations. The stability of PD complexes is demonstrated to depend primarily on the mutual orientations of the Burgers [...] Read more.
In this work, the structure and stability of partial dislocation (PD) complexes terminating double and triple stacking faults in 3C-SiC are studied by molecular dynamics simulations. The stability of PD complexes is demonstrated to depend primarily on the mutual orientations of the Burgers vectors of constituent partial dislocations. The existence of stable complexes consisting of two and three partial dislocations is established. In particular, two types of stable double (or extrinsic) dislocation complexes are revealed formed by two 30° partial dislocations with different orientations of Burgers vectors, or 30° and 90° partial dislocations. Stable triple PD complexes consist of two 30° partial dislocations with different orientations of their Burgers vectors and one 90° partial dislocation, and have a total Burgers vector that is equal to zero. Results of the simulations agree with experimental observations of the stable PD complexes forming incoherent boundaries of twin regions and polytype inclusions in 3C-SiC films. Full article
Show Figures

Figure 1

10 pages, 1989 KiB  
Article
Influence of Morphological Changes in a Source Material on the Growth Interface of 4H-SiC Single Crystals
by Matthias Arzig, Johannes Steiner, Michael Salamon, Norman Uhlmann and Peter J. Wellmann
Materials 2019, 12(16), 2591; https://doi.org/10.3390/ma12162591 - 14 Aug 2019
Cited by 7 | Viewed by 3002
Abstract
In this study, the change of mass distribution in a source material is tracked using an in situ computer tomography (CT) setup during the bulk growth of 4H- silicon carbide (SiC) via physical vapor depostion (PVT). The changing properties of the source material [...] Read more.
In this study, the change of mass distribution in a source material is tracked using an in situ computer tomography (CT) setup during the bulk growth of 4H- silicon carbide (SiC) via physical vapor depostion (PVT). The changing properties of the source material due to recrystallization and densification are evaluated. Laser flash measurement showed that the thermal properties of different regions of the source material change significantly before and after the growth run. The Si-depleted area at the bottom of the crucible is thermally insulating, while the residual SiC source showed increased thermal conductivity compared to the initially charged powder. Ex situ CT measurements revealed a needle-like structure with elongated pores causing anisotropic behavior for the heat conductivity. Models to assess the thermal conductivity are applied in order to calculate the changes in the temperature field in the crucible and the changes in growth kinetics are discussed. Full article
Show Figures

Figure 1

10 pages, 2343 KiB  
Article
Annealing-Induced Changes in the Nature of Point Defects in Sublimation-Grown Cubic Silicon Carbide
by Michael Schöler, Clemens Brecht and Peter J. Wellmann
Materials 2019, 12(15), 2487; https://doi.org/10.3390/ma12152487 - 06 Aug 2019
Cited by 2 | Viewed by 3361
Abstract
In recent years, cubic silicon carbide (3C-SiC) has gained increasing interest as semiconductor material for energy saving and optoelectronic applications, such as intermediate-band solar cells, photoelectrochemical water splitting, and quantum key distribution, just to name a few. All these applications critically depend on [...] Read more.
In recent years, cubic silicon carbide (3C-SiC) has gained increasing interest as semiconductor material for energy saving and optoelectronic applications, such as intermediate-band solar cells, photoelectrochemical water splitting, and quantum key distribution, just to name a few. All these applications critically depend on further understanding of defect behavior at the atomic level and the possibility to actively control distinct defects. In this work, dopants as well as intrinsic defects were introduced into the 3C-SiC material in situ during sublimation growth. A series of isochronal temperature treatments were performed in order to investigate the temperature-dependent annealing behavior of point defects. The material was analyzed by temperature-dependent photoluminescence (PL) measurements. In our study, we found a variation in the overall PL intensity which can be considered as an indication of annealing-induced changes in structure, composition or concentration of point defects. Moreover, a number of dopant-related as well as intrinsic defects were identified. Among these defects, there were strong indications for the presence of the negatively charged nitrogen vacancy complex (NC–VSi), which is considered a promising candidate for spin qubits. Full article
Show Figures

Figure 1

8 pages, 3448 KiB  
Article
Limitations during Vapor Phase Growth of Bulk (100) 3C-SiC Using 3C-SiC-on-SiC Seeding Stacks
by Philipp Schuh, Johannes Steiner, Francesco La Via, Marco Mauceri, Marcin Zielinski and Peter J. Wellmann
Materials 2019, 12(15), 2353; https://doi.org/10.3390/ma12152353 - 24 Jul 2019
Cited by 6 | Viewed by 3237
Abstract
The growth of 3C-SiC shows technological challenges, such as high supersaturation, a silicon-rich gas phase and a high vertical temperature gradient. We have developed a transfer method creating high-quality 3C-SiC-on-SiC (100) seeding stacks, suitable for use in sublimation “sandwich” epitaxy (SE). This work [...] Read more.
The growth of 3C-SiC shows technological challenges, such as high supersaturation, a silicon-rich gas phase and a high vertical temperature gradient. We have developed a transfer method creating high-quality 3C-SiC-on-SiC (100) seeding stacks, suitable for use in sublimation “sandwich” epitaxy (SE). This work presents simulation data on the change of supersaturation and the temperature gradient between source and seed for the bulk growth. A series of growth runs on increased source to seed distances was characterized by XRD and Raman spectroscopy. Results show a decrease in quality in terms of single-crystallinity with a decrease in supersaturation. Morphology analysis of as-grown material indicates an increasing protrusion dimension with increasing thickness. This effect limits the achievable maximal thickness. Additional polytype inclusions were observed, which began to occur with low supersaturation (S ≤ 0.06) and prolonged growth (increase of carbon gas-species). Full article
Show Figures

Figure 1

8 pages, 3312 KiB  
Article
Analysis of the Basal Plane Dislocation Density and Thermomechanical Stress during 100 mm PVT Growth of 4H-SiC
by Johannes Steiner, Melissa Roder, Binh Duong Nguyen, Stefan Sandfeld, Andreas Danilewsky and Peter J. Wellmann
Materials 2019, 12(13), 2207; https://doi.org/10.3390/ma12132207 - 09 Jul 2019
Cited by 18 | Viewed by 4398
Abstract
Basal plane dislocations (BPDs) in 4H silicon carbide (SiC) crystals grown using the physical vapor transport (PVT) method are diminishing the performance of SiC-based power electronic devices such as pn-junction diodes or MOSFETs. Therefore, understanding the generation and movement of BPDs is crucial [...] Read more.
Basal plane dislocations (BPDs) in 4H silicon carbide (SiC) crystals grown using the physical vapor transport (PVT) method are diminishing the performance of SiC-based power electronic devices such as pn-junction diodes or MOSFETs. Therefore, understanding the generation and movement of BPDs is crucial to grow SiC suitable for device manufacturing. In this paper, the impact of the cooldown step in PVT-growth on the defect distribution is investigated utilizing two similar SiC seeds and identical growth parameters except for a cooldown duration of 40 h and 70 h, respectively. The two resulting crystals were cut into wafers, which were characterized by birefringence imaging and KOH etching. The initial defect distribution of the seed wafer was characterized by synchrotron white beam X-ray topography (SWXRT) mapping. It was found that the BPD density increases with a prolonged cooldown time. Furthermore, small angle grain boundaries based on threading edge dislocation (TED) arrays, which are normally only inherited by the seed, were also generated in the case of the crystal cooled down in 70 h. The role of temperature gradients inside the crystal during growth and post-growth concerning the generation of shear stress is discussed and supported by numerical calculations. Full article
Show Figures

Figure 1

6 pages, 1244 KiB  
Article
Growth of Large-Area, Stress-Free, and Bulk-Like 3C-SiC (100) Using 3C-SiC-on-Si in Vapor Phase Growth
by Philipp Schuh, Francesco La Via, Marco Mauceri, Marcin Zielinski and Peter J. Wellmann
Materials 2019, 12(13), 2179; https://doi.org/10.3390/ma12132179 - 06 Jul 2019
Cited by 13 | Viewed by 2791
Abstract
We report on the reproducible growth of two inch 3C-SiC crystals using the transfer of chemical vapor deposition (CVD)-grown (100) oriented epitaxial layers. Additional experiments, in which the diameter of the free-standing layers is increased, are presented, indicating the upscale potential of this [...] Read more.
We report on the reproducible growth of two inch 3C-SiC crystals using the transfer of chemical vapor deposition (CVD)-grown (100) oriented epitaxial layers. Additional experiments, in which the diameter of the free-standing layers is increased, are presented, indicating the upscale potential of this process. The nucleation and growth of cubic silicon carbide is supported by XRD and Raman measurements. The rocking curve data yield a full-width-at-half-maximum (FWHM) between 138 to 140 arc sec for such grown material. Analysis of the inbuilt stress of the bulk-like material shows no indications of any residual stress. Full article
Show Figures

Figure 1

Review

Jump to: Research

18 pages, 2531 KiB  
Review
An Overview of Normally-Off GaN-Based High Electron Mobility Transistors
by Fabrizio Roccaforte, Giuseppe Greco, Patrick Fiorenza and Ferdinando Iucolano
Materials 2019, 12(10), 1599; https://doi.org/10.3390/ma12101599 - 15 May 2019
Cited by 175 | Viewed by 13482
Abstract
Today, the introduction of wide band gap (WBG) semiconductors in power electronics has become mandatory to improve the energy efficiency of devices and modules and to reduce the overall electric power consumption in the world. Due to its excellent properties, gallium nitride (GaN) [...] Read more.
Today, the introduction of wide band gap (WBG) semiconductors in power electronics has become mandatory to improve the energy efficiency of devices and modules and to reduce the overall electric power consumption in the world. Due to its excellent properties, gallium nitride (GaN) and related alloys (e.g., AlxGa1−xN) are promising semiconductors for the next generation of high-power and high-frequency devices. However, there are still several technological concerns hindering the complete exploitation of these materials. As an example, high electron mobility transistors (HEMTs) based on AlGaN/GaN heterostructures are inherently normally-on devices. However, normally-off operation is often desired in many power electronics applications. This review paper will give a brief overview on some scientific and technological aspects related to the current normally-off GaN HEMTs technology. A special focus will be put on the p-GaN gate and on the recessed gate hybrid metal insulator semiconductor high electron mobility transistor (MISHEMT), discussing the role of the metal on the p-GaN gate and of the insulator in the recessed MISHEMT region. Finally, the advantages and disadvantages in the processing and performances of the most common technological solutions for normally-off GaN transistors will be summarized. Full article
Show Figures

Figure 1

Back to TopTop