Next Article in Journal
Electrospinning Fabrication and Performance Evaluation of Polyacrylonitrile Nanofiber for Air Filter Applications
Next Article in Special Issue
A Micro-Processor-Based Feedback Stabilization Scheme for High-Q, Non-Linear Silicon Resonators
Previous Article in Journal
Structural Behavior of a Long-Span Partially Earth-Anchored Cable-Stayed Bridge during Installation of a Key Segment by Thermal Prestressing
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Atomic Layer Deposition TiO2 Films and TiO2/SiNx Stacks Applied for Silicon Solar Cells

1
Institute of Photonic System, National Chiao Tung University, Tainan 71150, Taiwan
2
Department of Electro-Optical Engineering, Southern Taiwan University of Science and Technology, Tainan 710, Taiwan
3
Department of Materials Science and Engineering, National Dong Hwa University, Hualien 97401, Taiwan
*
Author to whom correspondence should be addressed.
Appl. Sci. 2016, 6(8), 233; https://doi.org/10.3390/app6080233
Submission received: 19 June 2016 / Revised: 31 July 2016 / Accepted: 12 August 2016 / Published: 19 August 2016
(This article belongs to the Special Issue Silicon Photonics Components and Applications)

Abstract

:
Titanium oxide (TiO2) films and TiO2/SiNx stacks have potential in surface passivation, anti-reflection coatings and carrier-selective contact layers for crystalline Si solar cells. A Si wafer, deposited with 8-nm-thick TiO2 film by atomic layer deposition, has a surface recombination velocity as low as 14.93 cm/s at the injection level of 1.0 × 1015 cm−3. However, the performance of silicon surface passivation of the deposited TiO2 film declines as its thickness increases, probably because of the stress effects, phase transformation, atomic hydrogen and thermal stability of amorphous TiO2 films. For the characterization of 66-nm-thick TiO2 film, the results of transmission electron microscopy show that the anatase TiO2 crystallinity forms close to the surface of the Si. Secondary ion mass spectrometry shows the atomic hydrogen at the interface of TiO2 and Si which serves for chemical passivation. The crystal size of anatase TiO2 and the homogeneity of TiO2 film can be deduced by the measurements of Raman spectroscopy and spectroscopic ellipsometry, respectively. For the passivating contacts of solar cells, in addition, a stack composed of 8-nm-thick TiO2 film and a plasma-enhanced chemical-vapor-deposited 72-nm-thick SiNx layer has been investigated. From the results of the measurement of the reflectivity and effective carrier lifetime, TiO2/SiNx stacks on Si wafers perform with low reflectivity and some degree of surface passivation for the Si wafer.

Graphical Abstract

1. Introduction

Dielectric thin-film materials capped on top of solar cells serve important roles as an anti-reflection coating layer for photon harvesting, and as a surface passivation layer to reduce the surface recombination loss for crystalline silicon (c-Si) solar cells. As the thickness of c-Si solar cells decreases due to lowering the manufacturing cost of solar cells, Si surface passivation becomes a more and more important issue for high efficiency solar cells [1]. Therefore, a variety of dielectric materials have been proposed for the surface passivation of solar cells, such as silicon dioxide (SiO2), amorphous silicon nitride (a-SiNx), amorphous silicon (a-Si), and aluminum oxide (Al2O3) [2,3,4]. Beside the use of dielectric thin films, Si surface passivation also depends on the deposition techniques, treatments of surface cleaning, and types of Si substrates. Among different deposition techniques, atomic layer deposition (ALD) shows highly conformal coating and extreme uniformity which is suitable for complex surface texturing and large-size industrial solar cells. For example, Al2O3 thin films deposited by ALD have shown great performance in surface passivation for industrial c-Si solar cells [5,6,7]. In addition, the spatial ALD technique has demonstrated high-throughput fabrication for c-Si solar cells in the industry [8]. ALD bilayer coatings not only can provide a function for surface passivation, but also can serve as anti-reflection coating layers to lower the reflectivity of solar cells, such as Al2O3/TiO2, Al2O3/ZnS, Al2O3/HfO2 and Al2O3/SiNx stacks [9,10,11]. Recently, the concept of passivating contact was proposed to further increase the conversion efficiency of c-Si solar cells by using these dielectric materials. Carrier selection and integration of tunneling contacts have been developed to reduce the recombination losses between the photoactive part of the solar cell and the metal contacts. The ultrathin dielectric layers for conductive silicon surface passivation layers can be fabricated easily by the ALD technique [12,13,14].
Titanium oxide (TiO2) film has been used in the photovoltaic industry as an anti-reflection coating since the 1980s due to its low growth temperature, nontoxic liquid precursors, excellent chemical resistance, optimal reflective index, and low absorbance at wavelengths of the solar spectrum (except the ultraviolet region) [15,16]. However, stoichiometric TiO2 films afford little surface passivation on bare silicon wafer [17]. Doeswijk et al. reported that nonstoichiometric titanium oxide films deposited by pulsed laser deposition had a certain degree of passivation for the non-diffused p-type Si surface [18]. Thomson et al. proposed that the TiO2 thin films deposited by atmospheric pressure chemical vapor deposition (APCVD) could effectively passivate n-type Si and boron-diffused surfaces. These films were also annealed at 300 °C in nitrogen (N2) ambient and subjected to light soaking by a halogen lamp to create negative charges for further improving the performance of surface passivation [19,20]. Si surface passivation by TiO2 thin films using the ALD technique was first reported in 2013 by Yu et al. [21]. In the study, 66-nm-thick TiO2 films were deposited by ALD on the float-zone (FZ) Si wafers at different substrate temperatures (200 °C, 300 °C, 400 °C and 500 °C). The results showed that a certain degree of Si surface passivation was observed for relatively lower deposition temperature of TiO2 films. Recently, Liao et al. reported excellent c-Si surface passivation by atomic layer–deposited amorphous TiO2 films at even lower temperature (100 °C) and realized ultralow effective surface recombination velocities down to 2.8 cm/s and 8.3 cm/s for n-type and p-type FZ c-Si wafers, respectively [22]. They also demonstrated the excellent performance of passivation for the TiO2 films on boron-doped industrial Si emitters, which further proved the feasibility of applying TiO2 film in surface passivation for high efficiency n-type Si solar cells in the future [23]. In addition, Avasthi et al. reported that the TiO2/Si heterojunction structure can selectively block the transport of holes from Si to the TiO2 layer [24]. Yang et al. proposed ultrathin amorphous TiO2 film as the carrier-selective contact layer in n-type Si solar cells and demonstrated the high conversion efficiency of Si solar cells with a simplified process [25,26].
Although many research groups demonstrated that TiO2 films by ALD perform good surface passivation for Si solar cells [27], the characterization of those TiO2 films is rarely reported in order to understand the mechanisms of Si surface passivation of TiO2 films (chemical passivation and field-effect passivation). In this study, we investigated the growth and phase transformation of TiO2 films deposited by ALD at the temperature of 200 °C by using transmission electron microscopy (TEM). The chemical compositions of TiO2 films, especially for atomic hydrogen, were studied by secondary ion mass spectrometry (SIMS). The crystallinity of TiO2 films was checked by Raman spectroscopy. The optical properties of these TiO2 films were conducted by spectroscopic ellipsometry. Then, silicon surface passivation for TiO2 thin films with different thicknesses and post-deposition annealing was performed. Finally, we studied the surface passivation and reflectivity of TiO2/SiNx stacks on Si wafers.

2. Materials and Methods

In the experiment, we used double-side polished FZ p-type Si wafers (Institute of Electronic Materials Technology, Warsaw, Poland) with the resistivity of 5000 Ω-cm, thickness of 275 μm, and orientation of (100). Before TiO2 deposition, Si wafers were cleaned in sequence by acetone to remove the organics and by 5% hydrofluoric acid to remove the native oxide. Then, wafers were rinsed in deionized water and dried with N2 gas. Right after the cleaning, Si substrate was placed in the reaction chamber and then the chamber temperature was raised to 200 °C for the ALD deposition [28]. For the process, we employed TiCl4 and H2O as the reactants and Ar (99.999%) as the purging gas. One cycle (one monolayer) of TiO2 growth was 0.066 nm in thick and included eight steps, i.e., TiCl4 reactant, pump-down, Ar purge, pump-down, H2O reactant, pump-down, Ar purge, and pump-down. Due to the self-limiting growth of ALD, we grew four different thicknesses of TiO2 thin films by controlling the cycle numbers (125, 250, 530, and 1000 cycles).
For the characterization of TiO2 thin films, we used the Tecnai G2 TEM (FEI Company, Hillsboro, OR, USA) to study the crystallinity, phases and thickness of TiO2. The samples for TEM were prepared by Dual Beam-Focused Ion Beam system. SIMS of Cameca IMS 6F (AMETEK S.A.S., Elancourt, France) was used to analyze the depth profiles of oxygen, silicon and hydrogen. Jobin Yvon U1000 Raman spectroscopy (HORIBA, Kyoto, Japan) equipped a laser with wavelength of 532 nm was employed to estimate the crystalline size of 66 nm TiO2 films. J.A. Woollam’s spectroscopic ellipsometry (J.A. Woollam Co., Lincoln, NE, USA) was used to study the optical properties and homogeneity of TiO2 films along the growth direction. To study the silicon surface passivation, the effective minority carrier lifetimes were obtained by Sinton’s WCT-120 (Sinton Instruments, Boulder, CO, USA) in quasi-steady-state photo-conductance (QSSPC) mode with a light bias for high resistivity Si wafers [29,30].
For the passivating-contact applications of c-Si solar cells, we go further to discuss the reflectivity and Si surface passivation of TiO2/SiNx stacks composed of 8-nm-thick TiO2 and 72-nm-thick SiNx. The capping layers, i.e., SiNx film, were grown by plasma-enhanced chemical vapor deposition (PECVD) with reactant gases of SiH4 (25 sccm) and NH3 (25 sccm) at substrate temperature of 200 °C, under pressure of 0.65 torr, and radio frequency power of 90 watt. Reflectivity of samples ranging from the wavelength of 300 nm to 1200 nm was measured by Hitachi U-4100 (Hitachi, Tokyo, Japan).

3. Results

3.1. Characterization of ALD TiO2 Thin Films

The cross-section TEM images and selective-area diffraction (SAD) patterns of TiO2 films are shown in Figure 1. From the TEM images, we can measure the thickness of 1000-cycle TiO2 film as 66 nm, and estimate the thicknesses of 125-cycle, 250-cycle and 530-cycle TiO2 films as 8 nm, 15 nm and 35 nm, respectively. Amorphous TiO2 exists in 8-nm-, 15-nm- and 35-nm-thick TiO2 films as shown in Figure 1a–c, respectively. Anatase and amorphous TiO2 phases coexist in the 66-nm-thick TiO2 film as shown in Figure 1d. Anatase TiO2 can be found near the interface of the Si and TiO2 film. These observations can be confirmed by the SAD pattern. In Figure 1e, the SAD pattern of 35-nm-thick film is constituted by the Debye rings of a-TiO2 and the pattern of the Si crystal. From the SAD pattern of 66-nm-thick TiO2 shown in Figure 1f, we can find the mixture of the diffraction patterns of anatase TiO2 and the Si crystal. According to the TEM results, we observe the phase transformation of the TiO2 thin film as the cycle number increases during the ALD process. Heterogeneous nucleation and growth of anatase TiO2 took place from the surface of the Si [31]. The phase transformation of the TiO2 films from amorphous to anatase might reduce the filed-effect passivation of TiO2 film (discuss in Section 3.2) [26,32].
Figure 2 shows the depth profile analysis of oxygen, silicon and hydrogen elements for 66-nm-thick TiO2 films on silicon. At the interface of Si and TiO2, we can find the large amount of hydrogen atoms which serve in the chemical surface passivation for Si. The concentration of hydrogen decreases from the interface to the surface of the TiO2 film.
To further check its crystallinity, the 66-nm-thick TiO2 film was analyzed by Raman spectroscopy. Figure 3 shows the Raman spectra of the 66-nm-thick TiO2 film and a Si wafer. Both spectra were normalized to their maximum values of intensity (at ~520 cm−1 which is the phonon peak of crystalline Si). The TiO2 film shows three relatively stronger phonon peaks located at around 141 cm−1, 395 cm−1 and 636 cm−1, and one relatively weaker phonon peak at around 195 cm−1 (see the inset of Figure 2). According to the report [33], these peaks can be assigned to the active phonon modes of anatase TiO2, namely 3Eg (144, 196, and 638 cm−1), 2B1g (398 and 519 cm−1), and 1A1g (513 cm−1). Two phonon modes at 513 and 519 cm−1 are not seen in the measured Raman spectrum of TiO2, probably because of the much stronger signal of the Si phonon located at ~520 cm−1. Based on the Raman results, we again confirm that the 66-nm-thick TiO2 film contains the anatase phase.
When the crystalline size is smaller than the critical size, the full width at half maximum (FWHM) values of the Raman peaks become broader due to the quantum confinement effect. Therefore, we can deduce the crystalline size from the Raman results. The FWHM of the 144 cm−1 peak is about 10 cm−1. According to the results from other groups [33,34], the crystalline size of anatase TiO2 embedded in 66-nm-thick film should be larger than the critical size (~20 nm). This estimation is also consistent with our TEM result shown in Figure 1d.
The 66-nm-thick TiO2 film was also analyzed by spectroscopic ellipsometry. The software CompleteEASE (Version 4.48, J.A. Woollam Co., Lincoln, NE, USA, 1999–2010) was used to fit the experimental data. To extract the optical constants of deposited TiO2 film, we tried to use one, two, and three optical dielectric layers to model this single deposited layer. To simplify our fitting, the Cauchy function provided by CompleteEASE was used for the optical dielectric layers. For the fitting of optical dielectric layers, the parameters of the Cauchy function (A, B, and C) and the thickness are allowed to fit. Initially, the parameter of k amplitude, which is related to the strength of the extinction coefficient, was fixed at zero in consideration of the bandgap of TiO2. Then, in order to improve the fitting (further minimizing the mean square errors (MSE) value), the k parameter of the upper layer was allowed to fit. The obtained MSEs for the one-, two-, and three-layer models are 8.26, 2.64, and 2.48, respectively. By comparing it with the MSE value of the two-layer model, the three-layer model only improved a little, which indicates that the two-layer model is enough. Among the different fitting models, we can find the best one as shown in the inset of Figure 4. The fitting results were composed of a surface roughness layer (5.8 nm), an upper layer (20.4 nm), a lower layer (50.3 nm), and a Si substrate. Adding more layers in the model does not improve the fitting results. The thickness of the surface roughness (5.8 nm) is close to the atomic force microscopy results of the 66 nm film (4.01 nm) [32]. The total thickness (upper and lower layer) of TiO2 around 70 nm is consistent with our estimation of 66 nm based on the growth rate of our ALD system. Moreover, the two-layer model of the TiO2 film and its individual thickness agree with the TEM result in Figure 1d. From the TEM image, the darker region from the top of the TiO2 layer is related to the upper layer of the ellipsometry model.
Since most of the measured spectral range is below the bandgap of TiO2, the optical dispersion of the upper and lower layers is fitted by the Cauchy dispersion functions. The extracted indices of the refraction (n) and the extinction coefficient (k) of the upper and lower layers as functions of wavelength are shown in Figure 4. The refractive index of the lower layer closes to the refractive index of the anatase TiO2 [35]. The upper layer shows a lower value of the refractive index and a larger value of the extinction coefficient because of its amorphous phase.
Besides, this double-layer structure is better for light harvesting than the one-layer structure [36]. To show this concept, we calculated the reflectance values for one layer of 70.7-nm-thick TiO2 (with n and k values of the lower layer) and the two-layer TiO2 composed of an upper layer (20.4 nm) and a lower layer (50.3 nm) on top of a planar Si wafer. By loading our extracted values of n and k and using OPAL 2, an optical calculator hosted on the PV Lighthouse website [37], the average reflectance values for the spectral range of 380–890 nm, which is the measured spectral range of ellipsometry, are 13.8% and 11.2% for the one-layer and two-layer TiO2, respectively.

3.2. Silicon Surface Passivation of ALD TiO2

Figure 5 shows the effective minority carrier lifetime as a function of the carrier density for 8-nm-thick TiO2 film on Si. Its minority carrier lifetime value is 921 μs at a carrier density of 1.0 × 1015 cm−3 which was extracted using the quasi-steady-state photo-conductance (QSSPC) mode with a light bias of 0.1 suns. The result shows that the Si wafer is effectively passivated by the 8-nm-thick TiO2 film deposited at a temperature of 200 °C. The effective lifetime (τ_eff) is a combination of the bulk lifetime (τ_bulk) and the surface lifetime (τ_sur) described as follows [38]:
1 τ _ e f f = 1 τ _ b u l k + 1 τ _ s u r ,    1 τ _ s u r = 2 S W
where W is the sample thickness, and S is the surface recombination velocity. Since the FZ Si wafer has a long bulk lifetime, the measured effective lifetime can be approximated to the surface lifetime. Consequently, a low surface recombination velocity of 14.93 cm/s for 8-nm-thick TiO2 films on Si wafers is deduced.
The effective minority carrier lifetimes of the other three samples with different thicknesses of TiO2 (i.e., 15 nm, 35 nm, and 66 nm) were also investigated according to the procedures of 8-nm-thick TiO2 films. The effective lifetimes of four samples at the injection level of 1.0 × 1015 cm−3 are summarized in Figure 6. The effective lifetime decreases dramatically from 921 μs for the 8-nm-thick TiO2 film to 32 μs for the 66-nm-thick TiO2 film. The results show that the Si surface passivation of TiO2 films by ALD at a substrate temperature 200 °C declines as the cycle number increases. Thin TiO2 films in amorphous phase can provide better Si surface passivation in the mechanisms of field-effect passivation and chemical passivation. The degradation of the surface passivation quality with increasing the TiO2 thickness could be caused by stress effects and phase transformation, which has been reported and proved [26,27,32]. Phase transformation of TiO2 at the interface could change the band structure and the interface trap density to decrease the field-effect passivation. Capacitance-voltage measurements of these films could be possible to study fixed charges and the interface trap density of TiO2 films.
Besides, atomic hydrogen, which terminates the dangling bonds of Si for chemical passivation, plays an important role in silicon surface passivation [39]. From the results of SIMS in Figure 2, we can find that atomic hydrogen accumulates at the interface of Si and TiO2 films. We proposed that it might be another reason that ultrathin TiO2 films have a better performance of surface passivation. The TiO2 films with a lower thermal budget during the deposition and in the amorphous phase could keep more hydrogen atoms at the interface of the Si and TiO2. Therefore, as the thickness of the TiO2 thin films increases during ALD growth, stress effects, phase transformation of TiO2 films, atomic hydrogen and a greater thermal budget from the chamber could be reasons to decrease the silicon surface passivation of TiO2 films.
Forming gas annealing (FGA) of TiO2 films was a strategy to enhance chemical surface passivation by the diffusion of atomic hydrogen. However, FGA at high temperatures will degrade the field-effect passivation of TiO2 films due to the phase transformation from amorphous to anatase [26]. In order to study the thermal budget effect on the silicon surface passivation of TiO2 films, one sample was deposited for 250 cycles without post-deposition annealing, and another was deposited for 250 cycles with post-deposition annealing at 200 °C for more 6000 s in the chamber. The effective minority carrier lifetime as a function of the carrier density is shown in Figure 7, and the sample with post-deposition annealing has a lower effective lifetime. The thermal budget from the chamber is obviously another reason to cause the decrease of silicon surface passivation. This could be because the thermal effect could cause the atomic hydrogen to diffuse out of the interface of Si and TiO2 and decrease the chemical passivation.

3.3. Reflectivity and Surface Passivation of TiO2/SiNx Stacks

Figure 8 shows the reflectivity as a function of wavelengths from 300 nm to 1200 nm for Si wafer; 8-nm-thick, 15-nm-thick, 35-nm-thick, and 66-nm-thick TiO2 films; and TiO2/SiNx stacks on Si. For samples with TiO2 films deposited on the Si wafers, their reflectivity decreases as the thickness of the TiO2 films increases. According to the theory of quarter-wavelength anti-reflection coating (d = λ/4nTiO2, d is the thickness and nTiO2 is the refractive index of TiO2), the (first-order) wavelength at minimum reflection red-shifts as the film thickness increases. As the wavelength at minimum reflection for the thicker TiO2 films on Si shifts closer to the wavelength of the peak intensity of the solar spectrum for the thicker TiO2 films on Si, they can have a better ability to harvest light. The results show that the 66-nm-thick TiO2 film can be a good anti-reflection coating layer for Si solar cells. However, the phase transformation and post-deposition annealing could cause the surface passivation of 66-nm-thick TiO2 film to decline.
In this paragraph, we go further to study the optical properties and surface passivation of TiO2/SiNx stacks on Si for future applications on the front side of p-type c-Si solar cells. TiO2/SiNx stacks are composed of an 8-nm-thick TiO2 film deposited by ALD and a 72-nm-thick SiNx film deposited by plasma-enhanced chemical vapor deposition (PECVD). From the measured reflectivity shown in Figure 8, TiO2/SiNx stacks have reflectivity as low as 66-nm-thick TiO2 films which can serve as an anti-reflection coating layer for Si solar cells.
SiNx thin film deposited by PECVD not only is the mainstream option for anti-reflection coatings in c-Si solar cells, but it also serves as the passivation layer due to the atomic hydrogen in the film. During the process of solar cells, hydrogen atoms can diffuse and serve in the chemical passivation [40]. For the silicon surface passivation of TiO2/SiNx stacks, Figure 9 shows the effective carrier lifetimes of bare Si wafer, TiO2/SiNx stacks, 80-nm-thick SiNx films deposited by PECVD, and 66-nm-thick TiO2 films deposited by ALD on Si at the injection level of 1.0 × 1015 cm−3. The error bar of the effective carrier lifetime is the standard deviation of six samples for individual conditions. Some degree of silicon surface passivation by TiO2/SiNx stacks can be found, and the stacks have a better performance of surface passivation than that of SiNx and TiO2 layers. TiO2/SiNx stacks have excellent optical properties and effective Si surface passivation so they can be a potential material for c-Si solar cells. Compared with 8-nm-thick TiO2 film, the effective lifetime decreases after the capping layer of SiNx. The reason might be similar to that for 66-nm-thick TiO2 film. Stress effects, phase transformation of TiO2 films and the thermal budget effect induced by the PECVD process make the performance of the surface passivation decrease. For further applications on c-Si solar cells, lower-temperature deposition of the SiNx capping layer and forming gas annealing of TiO2/SiNx stacks might be better.

4. Discussion

TiO2 thin films with different thicknesses were grown by ALD at a temperature of 200 °C for silicon surface passivation, anti-reflection coatings and passivating contact. From the characterization of 66-nm-thick TiO2 thin films by TEM, SIMS, Raman spectroscopy, and ellipsometry, we observed the phase formation of anatase TiO2 distributing non-homogeneously along the growth direction, deduced its crystal size, completed a depth profile analysis of atomic hydrogen and investigated the optical properties. Amorphous TiO2 thin films grown by ALD at a temperature of 200 °C have a good performance in silicon surface passivation. The surface recombination velocity of 8-nm-thick TiO2 films can reach 14.93 cm/s at the injection level of 1 × 1015 cm−3. However, the Si surface passivation of TiO2 film strongly depends on its thickness (8 nm, 15 nm, 35 nm and 66 nm), which is associated with stress effects and atomic hydrogen relating to the phase transformation and thermal stability of TiO2 films. For further applications on the passivating contact of c-Si solar cells, we also studied the performance of anti-reflection and Si surface passivation for a stack composed of an 8-nm-thick TiO2 film deposited by ALD and a capping layer of 72-nm-thick SiNx deposited by PECVD. Low reflectivity and some degree of surface passivation were observed when using TiO2/SiNx stacks on Si wafers.

Acknowledgments

The authors acknowledge the Ministry of Science and Technology R.O.C. (MOST 104-2221-E-218-002, 104-2221-E-259-001 and 104-2221-E-009-167) for financially supporting this study. Thanks to the R&D department of E-Ton Solar Tech. Co. LTD for the measurements of the WCT-120 and Hitachi U-4100.

Author Contributions

I.-S.Y. and H.-E.C. conceived and designed the experiments; I-H.C. performed the experiments; I.-S.Y. and Z.-P.Y. analyzed the data and wrote the paper.

Conflicts of Interest

The authors declare no conflict of interest.

References

  1. Green, M.A. The path to 25% silicon solar sell efficiency: History of silicon cell evolution. Prog. Photovoltaics Res. Appl. 2009, 17, 183–189. [Google Scholar] [CrossRef]
  2. Aberle, A.G. Surface passivation of crystalline silicon solar cells: A review. Prog. Photovoltaics Res. Appl. 2000, 8, 473–487. [Google Scholar] [CrossRef]
  3. Werner, F.; Veith, B.; Tiba, V.; Poodt, P.; Roozeboom, F.; Brendel, R.; Schmidt, J. Very low surface recombination velocities on p- and n-type c-Si by ultrafast spatial atomic layer deposition of aluminum oxide. Appl. Phys. Lett. 2010, 97, 162103. [Google Scholar] [CrossRef]
  4. Ge, J.; Tang, M.; Wong, J.; Zhang, Z.; Dippell, T.; Doerr, M.; Hohn, O.; Huber, M.; Wohlfart, P.; Aberle, A.G.; et al. Excellent silicon surface passivation achieved by industrial inductively coupled plasma deposited hydrogenated intrinsic amorphous silicon suboxide. In. J. Photoenergy 2014, 2014. [Google Scholar] [CrossRef]
  5. Breitenstein, L.; Richter, A.; Hermle, M.; Warta, W. Impact of wet-chemical cleaning on the passivation quality of Al2O3 layers. In Proceedings of the 37th IEEE Photovoltaic Specialists Conference, Seattle, WA, USA, 19–24 June 2011; pp. 1400–1404.
  6. Schmidt, J.; Veith, B.; Werner, F.; Zielke, D.; Brendel, R. Silicon surface passivation by ultrathin Al2O3 films and Al2O3/SiNx stacks. In Proceedings of the 35th IEEE Photovoltaic Specialists Conference, Honolulu, HI, USA, 20–25 June 2010; pp. 885–890.
  7. Hoex, B.; Schmidt, J.; Pohl, P.; Van de Sanden, M.C.M.; Kessels, W.M.M. Silicon surface passivation by atomic layer deposited Al2O3. J. Appl. Phys. 2008, 104. [Google Scholar] [CrossRef]
  8. Vermang, B.; Werner, F.; Stals, W.; Lorenz, A.; Rothschild, A.; John, J.; Poortmans, J.; Mertens, R.; Gortzen, R.; Poodt, P.; et al. Spatially-separated atomic layer deposition of Al2O3, a new option for high-throughput Si solar cell passivation. Prog. Photovoltaics Res. Appl. 2010, 19, 733–739. [Google Scholar] [CrossRef]
  9. Lee, B.G.; Li, S.; Von Gastrow, G.; Yli-Koski, M.; Savin, H.; Malinen, V.; Skarp, J.; Choi, S.; Branz, H.M. Excellent passivation and low reflectivity with atomic layer deposited bilayer coatings for n-type silicon solar cells. Thin Solid Films 2014, 550, 541–544. [Google Scholar] [CrossRef]
  10. Davis, K.O.; Jiang, K.; Habermann, D.; Schoenfeld, W.V. Tailoring the optical properties of APCVD titanium oxide films for all-oxide multi-layer anti-reflection coatings. IEEE J. Photovoltaics 2015, 5, 1265–1270. [Google Scholar] [CrossRef]
  11. Suh, D. Stacked and nanolaminated Al2O3/TiO2 for surface passivation and encapsulation of silicon. Phys. Status Solidi (RRL) 2015, 9, 344–347. [Google Scholar] [CrossRef]
  12. Benner, F.; Jordan, P.M.; Richter, C.; Simon, D.K.; Dirnstorfer, I.; Knaut, M.; Bartha, J.W.; Mikolajick, T. Atomic layer deposited high-κ nanolaminates for silicon surface passivation. J. Vac. Sci. Technol. B 2014, 32. [Google Scholar] [CrossRef]
  13. Dirnstorfer, I.; Chohan, T.; Jordan, P.M.; Knaut, M.; Simon, D.K.; Bartha, J.W.; Mikolajick, T. Al2O3-TiO2 nanolaminates for conductive silicon surface passivation. IEEE J. Photovoltaics 2016, 6, 86–91. [Google Scholar] [CrossRef]
  14. Melskens, J.; Van de Loo, B.W.H.; Macco, B.; Vos, M.F.J.; Palmans, J.; Smit, S.; Kessels, W.M.M. Concepts and prospects of passivating contacts for crystalline silicon solar cells. In Proceedings of the 42nd IEEE Photovoltaic Specialists Conference, New Orleans, LA, USA, 14–19 June 2015; pp. 1–6.
  15. Richards, B.S. Comparison of TiO2 and other dielectric coatings for buried contact solar cells: A review. Prog. Photovoltaics Res. Appl. 2004, 12, 253–281. [Google Scholar] [CrossRef]
  16. Lee, Y.-T.; Lin, F.-R.; Lin, T.C.; Chen, C.-H.; Pei, Z. Low-temperature, chemically grown titanium oxide thin films with a high hole tunneling rate for Si solar cells. Energies 2016, 9. [Google Scholar] [CrossRef]
  17. Rohatgi, A.; Doshi, P.; Moschner, J.; Lauinger, T.; Aberle, A.G.; Ruby, D.S. Compressive study of rapid low-cost silicon surface passivation technologies. IEEE Tran. Electron Devices 2000, 47, 987–993. [Google Scholar] [CrossRef]
  18. Doeswijk, L.M.; De Moor, H.H.C.; Blank, D.H.A.; Rogalla, H. Passivating TiO2 coatings for silicon solar cells by pulsed laser deposition. Appl. Phys. A 1999, 69. [Google Scholar] [CrossRef]
  19. Thomson, A.F.; Lynn, S.Z.; McIntosh, K.R. Passivation of silicon by negatively charged TiO2. In Proceedings of the 25th EUPVSEC, Valencia, Spain, 6–10 September 2010; pp. 1146–1153.
  20. Thomson, A.F.; McIntosh, K.R. Light-enhanced surface passivation of TiO2-coated silicon. Prog. Photovoltaics Res. Appl. 2012, 20, 343–349. [Google Scholar] [CrossRef]
  21. Yu, I.-S.; Wang, Y.-W.; Cheng, H.-E.; Yang, Z.-P.; Lin, C.-T. Surface passivation and antireflection behavior of ALD TiO2 on n-type silicon for solar cells. Int. J. Photoenergy 2013, 2013. [Google Scholar] [CrossRef]
  22. Liao, B.; Hoex, B.; Aberle, A.G.; Chi, D.; Bhatia, C.S. Excellent c-Si surface passivation by low-temperature atomic layer deposited titanium oxide. Appl. Phys. Lett. 2014, 104. [Google Scholar] [CrossRef]
  23. Liao, B.; Hoex, B.; Shetty, K.D.; Basu, P.K.; Bhatia, C.B. Passivation of boron-doped industrial silicon emitters by thermal atomic layer deposited titanium oxide. IEEE J. Photovoltaics 2015, 5, 1062–1065. [Google Scholar] [CrossRef]
  24. Avasthi, S.; McClain, W.E.; Mam, G.; Kahn, A.; Schwartz, J.; Sturm, J.C. Hole-blocking titanium-oxide/silicon heterojunction and its application to photovoltaics. Appl. Phys. Lett. 2013, 102. [Google Scholar] [CrossRef]
  25. Yang, X.; Zheng, P.; Bi, Q.; Weber, K. Silicon heterojunction solar cells with electron selective TiOx contact. Sol. Energy Mater. Sol. Cells 2016, 150, 32–38. [Google Scholar] [CrossRef]
  26. Yang, X.; Bi, Q.; Ali, H.; Davis, K.O.; Schoenfeld, W.V.; Weber, K. High performance TiO2-based electron-selective contacts for crystalline silicon solar cells. Adv. Mater. 2016, 28, 5891–5897. [Google Scholar] [CrossRef] [PubMed]
  27. Gad, K.M.; Vossing, D.; Rimin, A.; Rayner, B.; Reindl, L.M.; Mohney, S.E.; Kasemann, M. Ultrathin titanium dioxide nanolayers by atomic layer deposition for surface passivation of crystalline silicon. IEEE J. Photovoltaics 2016, 6, 649–653. [Google Scholar] [CrossRef]
  28. Cheng, H.-E.; Chen, C.-C. Morphological and photoelectrochemical properties of ALD TiO2 films. J. Electrochem. Soc. 2008, 155, D604–D607. [Google Scholar] [CrossRef]
  29. McIntosh, K.R.; Guo, J.-H.; Abbott, M.D.; Bardos, R.A. Calibration of the WCT-100 photoconductance instrument at low conductance. Prog. Photovoltaics Rese. Appl. 2008, 16, 279–287. [Google Scholar] [CrossRef]
  30. Sinton, R.A.; Cuevas, A.; Stuckings, M. Quasi-steady-state photoconductance: A new method for solar cell material and device characterization. In Proceedings of the 25th IEEE Photovoltaic Specialists Conference, Washington, DC, USA, 13–17 May 1996; pp. 457–460.
  31. Won, D.-J.; Wang, C.-H.; Jang, H.-K.; Choi, D.-J. Effect of thermally induced anatase-to-rutile phase transition in MOCVD growth TiO2 films on structural and optical properties. Appl. Phys. A 2001, 73, 595–600. [Google Scholar] [CrossRef]
  32. Yu, I.-S.; Chang, I.-H.; Cheng, H.-E.; Lin, Y.-S. Surface passivation of c-Si by atomic layer deposition TiO2 thin films deposited at low temperature. In Proceedings of the 40th IEEE Photovoltaic Specialists Conference, Denver, CO, USA, 8–13 June 2014; pp. 1271–1274.
  33. Georgescu, D.; Baia, L.; Ersen, O.; Baia, M.; Simon, S. Experimental assessment of phonon confinement in TiO2 anatase nanocrystallites by Raman spectroscopy. J. Raman Spectrosc. 2012, 43, 876–883. [Google Scholar] [CrossRef]
  34. Sahoo, S.; Arora, A.K.; Sridharan, V. Raman line shapes of optical phonons of different symmetries in anatase TiO2 nanocrystals. J. Phys. Chem. C 2009, 113, 16927–16933. [Google Scholar] [CrossRef]
  35. Jellison, G.E.; Boatner, L.A., Jr.; Budai, J.D.; Jeong, B.-S.; Norton, D.P. Spectroscopic ellipsometry of thin film and bulk anatase (TiO2). J. Appl. Phys. 2003, 93, 9537–9541. [Google Scholar] [CrossRef]
  36. Richards, B.S. Single-material TiO2 double-layer antireflection coatings. Sol. Energy Mater. Sol. Cells 2003, 79, 369–390. [Google Scholar] [CrossRef]
  37. PV Lighthouse. Available online: http://www.pvlighthouse.com.au/calculators/OPAL%202/OPAL%202.aspx (accessed on 20 May 2015).
  38. Kane, D.E.; Swanson, R.M. Measurement of the emitter saturation current by a contactless photoconduc tivity decay method. In Proceedings of the 18th IEEE Photovoltaic Specialists Conference, Las Vegas, NV, USA, 21–25 October 1985; pp. 578–583.
  39. Rahman, M.Z.; Khan, S.I. Advances in surface passivation of c-Si solar cells. Mater. Renew. Sustain. Energy 2012, 1. [Google Scholar] [CrossRef]
  40. Wan, Y.; McIntosh, K.R.; Thomson, A.F. Characterisation and optimization of PECVD SiNx as an antireflection coating and passivation layer for silicon cells. AIP Adv. 2013, 3. [Google Scholar] [CrossRef]
Figure 1. TEM (transmission electron microscopy) bright field images and selective-area diffraction patterns: (a) Bright field image of 125-cycle TiO2 film (8 nm); (b) Bright field image of 250-cycle TiO2 film (15 nm); (c) Bright field image of 530-cycle TiO2 film (35 nm); (d) Bright field image of 1000-cycle TiO2 (66 nm); (e) SAD pattern of 530-cycle TiO2 and Si; and (f) SAD pattern of 1000-cycle TiO2 and Si.
Figure 1. TEM (transmission electron microscopy) bright field images and selective-area diffraction patterns: (a) Bright field image of 125-cycle TiO2 film (8 nm); (b) Bright field image of 250-cycle TiO2 film (15 nm); (c) Bright field image of 530-cycle TiO2 film (35 nm); (d) Bright field image of 1000-cycle TiO2 (66 nm); (e) SAD pattern of 530-cycle TiO2 and Si; and (f) SAD pattern of 1000-cycle TiO2 and Si.
Applsci 06 00233 g001
Figure 2. SIMS (secondary ion mass spectrometry) depth profiles of oxygen, silicon and hydrogen.
Figure 2. SIMS (secondary ion mass spectrometry) depth profiles of oxygen, silicon and hydrogen.
Applsci 06 00233 g002
Figure 3. Raman spectra of 66-nm-thick TiO2 film and a Si wafer. The inset shows a zoom-in around the wavenumber of 200 cm−1.
Figure 3. Raman spectra of 66-nm-thick TiO2 film and a Si wafer. The inset shows a zoom-in around the wavenumber of 200 cm−1.
Applsci 06 00233 g003
Figure 4. The extracted index of refraction (n) and extinction coefficient (k) of upper and lower layers as functions of wavelength (the inset shows the fitting model).
Figure 4. The extracted index of refraction (n) and extinction coefficient (k) of upper and lower layers as functions of wavelength (the inset shows the fitting model).
Applsci 06 00233 g004
Figure 5. Minority carrier lifetime as function of carrier density for 8-nm-thick TiO2 film deposited at substrate temperature 200 °C.
Figure 5. Minority carrier lifetime as function of carrier density for 8-nm-thick TiO2 film deposited at substrate temperature 200 °C.
Applsci 06 00233 g005
Figure 6. Minority carrier lifetime at injection level 1 × 1015 cm−3 for different thicknesses of ALD TiO2 thin films deposited at 200 °C: 8 nm, 15 nm, 35 nm, and 66 nm.
Figure 6. Minority carrier lifetime at injection level 1 × 1015 cm−3 for different thicknesses of ALD TiO2 thin films deposited at 200 °C: 8 nm, 15 nm, 35 nm, and 66 nm.
Applsci 06 00233 g006
Figure 7. Minority carrier lifetime as function of carrier density for two TiO2 films: One is deposited for 250 cycles without post-deposition annealing, and another is deposited for 250 cycles with post-deposition annealing at 200 °C for more 6000 s in the chamber.
Figure 7. Minority carrier lifetime as function of carrier density for two TiO2 films: One is deposited for 250 cycles without post-deposition annealing, and another is deposited for 250 cycles with post-deposition annealing at 200 °C for more 6000 s in the chamber.
Applsci 06 00233 g007
Figure 8. Reflectivity of Si wafer, Si with different thicknesses TiO2 layers and TiO2/SiNx stacks as function of wavelengths from 300 nm to 1200 nm.
Figure 8. Reflectivity of Si wafer, Si with different thicknesses TiO2 layers and TiO2/SiNx stacks as function of wavelengths from 300 nm to 1200 nm.
Applsci 06 00233 g008
Figure 9. The average effective lifetime at the injection level 1 × 1015 cm−3 for bare Si wafers, TiO2/SiNx stacks, 80-nm-thick SiNx films by PECVD, and 66-nm-thick TiO2 films by ALD.
Figure 9. The average effective lifetime at the injection level 1 × 1015 cm−3 for bare Si wafers, TiO2/SiNx stacks, 80-nm-thick SiNx films by PECVD, and 66-nm-thick TiO2 films by ALD.
Applsci 06 00233 g009

Share and Cite

MDPI and ACS Style

Yang, Z.-P.; Cheng, H.-E.; Chang, I.-H.; Yu, I.-S. Atomic Layer Deposition TiO2 Films and TiO2/SiNx Stacks Applied for Silicon Solar Cells. Appl. Sci. 2016, 6, 233. https://doi.org/10.3390/app6080233

AMA Style

Yang Z-P, Cheng H-E, Chang I-H, Yu I-S. Atomic Layer Deposition TiO2 Films and TiO2/SiNx Stacks Applied for Silicon Solar Cells. Applied Sciences. 2016; 6(8):233. https://doi.org/10.3390/app6080233

Chicago/Turabian Style

Yang, Zu-Po, Hsyi-En Cheng, I-Hsuan Chang, and Ing-Song Yu. 2016. "Atomic Layer Deposition TiO2 Films and TiO2/SiNx Stacks Applied for Silicon Solar Cells" Applied Sciences 6, no. 8: 233. https://doi.org/10.3390/app6080233

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop