Next Article in Journal
A Robust Sparse Representation Model for Hyperspectral Image Classification
Next Article in Special Issue
Application of CMOS Technology to Silicon Photomultiplier Sensors
Previous Article in Journal
Fuzzy Risk Evaluation in Failure Mode and Effects Analysis Using a D Numbers Based Multi-Sensor Information Fusion Method
Previous Article in Special Issue
Dual-Mode Electro-Optical Techniques for Biosensing Applications: A Review
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Article

Silicon Nitride Photonic Integration Platforms for Visible, Near-Infrared and Mid-Infrared Applications

1
Photonics Research Labs, Universitat Politècnica de València, c/ Camino de Vera s/n, 46021 Valencia, Spain
2
R&D Department, VLC Photonics S.L., c/ Camino de Vera s/n, 46021 Valencia, Spain
3
Grupo de Transductores Químicos (GTQ), Instituto de Microelectrónica de Barcelona (IMB-CNM, CSIC), 08193 Cerdanyola del Vallès, Barcelona, Spain
*
Author to whom correspondence should be addressed.
Sensors 2017, 17(9), 2088; https://doi.org/10.3390/s17092088
Submission received: 1 August 2017 / Revised: 31 August 2017 / Accepted: 6 September 2017 / Published: 12 September 2017
(This article belongs to the Special Issue Silicon Technologies for Photonic Sensors)

Abstract

:
Silicon nitride photonics is on the rise owing to the broadband nature of the material, allowing applications of biophotonics, tele/datacom, optical signal processing and sensing, from visible, through near to mid-infrared wavelengths. In this paper, a review of the state of the art of silicon nitride strip waveguide platforms is provided, alongside the experimental results on the development of a versatile 300 nm guiding film height silicon nitride platform.

1. Introduction

Despite many materials being amenable to producing photonic integrated circuits (PICs), only a few have been employed to mirror the path of the semiconductors electronics industry and evolved into an eco-system of foundries, software suppliers, design houses and fabless companies [1,2]. The material systems for which generic processes and offering access through multi-project wafer (MPW) runs [3] have been developed are Silicon on Insulator (SOI) [4], Indium Phosphide [5] and Silicon Nitride [6]. Applications are tightly matted to wavelength, which determines the required transparency range for the materials (semiconductors, dielectrics) to be employed. In Figure 1, the optical spectrum is sketched alongside the wavelength ranges for main applications, from shorter to longer wavelengths, biophotonics, tele/datacom, and sensing, from visible, through near to mid-infrared. III-V semiconductors are mainly used in the near infrared (NIR), while SOI has slightly broader wavelength range. On the other hand, silicon nitride on silicon dioxide platforms are of use from visible (VIS) wavelengths to the upper part of the NIR (as SOI). The main limitation for SOI and Si 3 N 4 platforms in the upper part of the spectrum is the strong absorption of SiO 2 above approximately 4 μ m. Hence, other material combinations such as germanium on silicon platforms have been proposed [7,8,9,10,11]. Silicon based opto-electronics covers the aforementioned wavelength ranges and applications. A sketch of the common cross-sections employed in SOI and Si 3 N 4 is shown in the upper part of Figure 2. The different foundries supplying silicon based generic technologies through MPW runs are given in the table within the same figure.
Silicon on Insulator is a semiconductor technology where components are etched/patterned/fabricated in a 180–220 nm Si layer placed on top of a 1–3 μ m insulator [4]. Si passives are formed by initial few mask layers through partial and/or full Si etching steps after which multiple ion implantations are conducted for active devices, such as Ge photodetectors and Si modulators. Coupling into and outside of the chip can be performed via edge couplers (with typical losses of 1dB/facet) or vertically, via Si surface gratings (2 dB/coupler with 40–70 nm 3-dB bandwidth). The main advantage of SOI technology resides in its compatibility with CMOS fabrication processes and the infrastructure used in microelectronics and thus the compatibility in terms of System on Chip (SoC), System in Package (SiP), reproducibility and cost. Refractive index contrast is over 100% (n = 3.4 for Si and n = 1.45 for SiO 2 ), leading to small footprint circuits. Two main types of waveguides are available, as shown on the top-left panel of Figure 2: rib waveguides (1–8 μ m width), which exhibit relatively low losses down to 0.1–0.5 dB/cm, but are limited in bending radius to around 100 μ m, and strip waveguides (500 nm width) which exhibit much higher losses (1–3 dB/cm) but support lower values for minimum bending radius (5–20 μ m). Integration density on a chip is currently exceeding 4000 components, and the component count integration trend is exceeding the rate given by Moore’s law indeed. Several building blocks are available in monolithic SOI, including: passives, such as arrayed waveguide gratings and optical filters, and actives as Ge photodetectors, ring and travelling-wave electro-refractive modulators (up to 50 GHz). A table of the available building blocks for the different foundries supplying generic processes through multi-project wafer (MPW) runs is also given at the bottom of Figure 2. The main disadvantages of monolithic SOI technology is that it does not support optical sources and optical amplifiers, and the Pockels effect is poor, so no electro-optic efficient modulators are possible. To overcome this limitation III-V functionalities have to be integrated into the SOI platform by means of either molecular or adhesive wafer bonding [12].
Dielectric based photonic technology started with the development of components in the visible wavelength range, applied to build optical sensors [13]. This waveguide technology is based on a combination of (stoichiometric) silicon nitride (SiN x ) Si 3 N 4 as waveguide layers, filled by and encapsulated with silica (SiO 2 ) as cladding layers on a silicon wafer. SiO 2 and Si 3 N 4 layers are fabricated with CMOS-compatible techniques like thermal oxidation and industrial standard low-pressure LPCVD) and plasma enhanced (PECVD) chemical vapour deposition techniques equipment that enables cost-effective volume production. Several waveguide cross-sectional geometries are available, top-right panel in Figure 2. In general the cross-sections shown perform at 1550 nm with losses below 0.5 dB/cm and minimum bending radius typically around 150 μ m. In/out-coupling is achieved by means of adiabatically tapered spot-size converters with <1 dB coupling loss to standard single mode fiber. Several fundamental building blocks are available including the optical waveguide, thermo-optic phase tuning elements, directional and Multi-Mode Interference couplers, as detailed in Figure 2 as well. From these more complex subsystems have been demonstrated. The main disadvantage of this technology is that no optical sources, detectors, amplifiers and modulators are available in the generic MPW processes. However, the operation wavelength range spans from visible to the mid infrared, with very low loss. The integration with these active building blocks requires a hybrid or heterogeneous approach with separately fabricated InP or Silicon platform chips. In summary, whereas SOI provides all the active building blocks (except the optical source), such as waveguides and waveguide based blocks, modulators and detectors, up to the date silicon nitride generic MPW processes offer only passive optical waveguides, and just thermo-optic tuners as phase shifters.
In this paper, we report on our progress on a moderate confinement Si 3 N 4 /SiO 2 waveguide platform amenable for biophotonics, tele/datacom and sensing applications from the VIS to the long NIR (400–3700 nm wavelength range). The paper is structured as follows. Section 2 summarizes the present state of the art of strip waveguide platforms using silicon nitride and compounds on silicon oxide. In Section 3 we report our developments on the Si 3 N 4 /SiO 2 platform with guiding film height of 300 nm. Advanced interferometric full-field characterization techniques are employed with suitable on-chip test structures, in order to gather the platform waveguiding figures of merit including: propagation loss, group index, group velocity dispersion (GVD) and birefringence. Complementary modeling aspects are given in the appendix. The characterization of thermo-optic phase shifters and fiber in/out coupling structures is also reported. In Section 4 we present means for extending the operational wavelength range for Si 3 N 4 based platforms and the conclusion is given in Section 5.

2. Silicon Nitride Photonic Integration Platforms: State of the Art

Si 3 N 4 material is widely used in the fabrication of microelectronic circuits, as a support material for developing the devices with other compounds, with whom it exhibits tight electronic, structural and chemical interrelations [14]. For photonics, Stulius and Streifer reported in 1977 [15] the first fabrication of Si 3 N 4 films on a SiO 2 buffer on Silicon wafers, for light propagation in the red visible wavelength (632 nm). After some works in the 1980s on the propagation of visible (VIS) light through straight channel Si 3 N 4 waveguides, a seminal contribution on the application of this material in a functional device was done by Heideman E.A. [16], with a partially integrated Mach-Zehnder Interferometer (MZI) for immunosening assays, where the two arms of the MZI were in fact Si 3 N 4 /SiO 2 waveguides, while the optical couplers for the MZI were external to the photonic chip.
Despite a fully integrated MZI sensor was reported a few years latter [17] in the late 1990s, new interests on this material platform started again back in 2005, when Sandia Labs (USA) [18] and Univ. Trento (ITA) [19] developed processes and demonstrated applications in the near infrared (NIR). They were followed with silicon oxynitride (SiONx) waveguides [20] and Si 3 N 4 waveguides [21,22,23] from 2008 to 2011. Up to 2011 demonstrations by telecom related groups are for NIR C-Band at 1550 nm, and all the waveguide cross-sections were for moderate confinement (film heights > 100 nm), despite some groups reported by 2011 low confinement waveguides (film h < 100 nm) [22]. By 2013, researchers [24,25] set new paths of Si 3 N 4 technology for VIS applications. In parallel, and since 2011, there is a growing interest on high confinement (film h > 400 nm) waveguides for the long NIR (NIR+) (wavelength > 2000 nm), which are reported by several groups [26,27,28,29]. In 2015–2016, new players in moderate confinement technologies appear [30,31,32].
A summary of the reported strip waveguide silicon nitride platforms is given in Table 1. The table collates information on the operation wavelength, layer stack, cross-section dimensions, and when available, cut-off wavelength, propation loss and bend radius. Mechanisms responsible for optical propagation loss in strip silicon nitride waveguides have been previously described and experimentally explored, employing different fabrication recipes, by Sandia Labs in [18]. In short, provided processes are put into place to remove impurities in the silicon nitride and silicon oxide layers (e.g., through annealing), the surface roughness (film roughness and waveguide sidewall roughness) together with the mode confinement at the operation wavelength (given by the waveguide cross-section, width, height, as well by the substrate and cladding heights) are the main factors determining the propagation loss. Therefore, those cross-sections for which the optical mode feels less side-wall roughness (either because of strong confinement, low roughness or both), will be prone to lower propagation loss. In what follows, the state of the art for strip waveguides presented in Table 1 is discussed, grouping the platforms by wavelength range and optical confinement. Other types of waveguides, such as box waveguide and double strip waveguide (Figure 2, top-right, BOXWVG and DSWVG respectively), have been reported [33,34,35] with propagation loss and bend radius as low as 0.1 dB/cm and 70 μ m.
For use in the NIR, low confinement strip waveguides were demonstrated together by LioniX and UCSB, with guiding film heights ∼100 nm, waveguide widths ∼2800 nm and propagation loss as low as 0.09 dB/cm @ 1550 nm for 0.5 mm bend radius. The lowest loss reported by these groups is 0.001 dB/cm. The low propagation loss is due to the low confinement in the Si 3 N 4 , being most of the mode guided through the SiO 2 , enabled by huge layers of the buried oxide (BOX) and cladding (8 μ m and 7.5 μ m respectively). Still for the NIR, moderate confinement waveguides (nitride height in between 150–400 nm) have been demonstrated by several groups. Sandia (2005) [18] and UCD (2015) [31] reported LPCVD Si 3 N 4 guiding film heights of ∼150–200 nm, with waveguide widths ∼800–2000 nm. The propagation loss reported is 0.11–1.45 dB/cm@1550 nm for BOX height up to 5.0 μ m. Other groups as IME and University Toronto have reported 3D SiNx on top of SOI in the NIR [36], employing LPCVD Si 3 N 4 guiding film heights ∼300–400 nm, with waveguide widths ∼800–1000 nm, resulting into propagation loss of 1.30–2.10 dB/cm @ 1550 nm for BOX heights in between 2.0 μ m and 5.0 μ m. Using similar film heights in the VIS and VIS+ wavelength ranges, University Aachen and University Gent reported PECVD guiding film heights ∼100–220 nm, waveguide widths ∼300–800 nm PECVD guiding film loss 0.51–2.25 dB/cm @ 532–600 nm for BOX height ∼2400 nm.
Finally, both for the NIR and NIR+ wavelength ranges, high confinement waveguides have been reported by Kippengerg (EPFL) [26], Lipson (Cornell, then Columbia) [27,29] and Agarwal (MIT) in 2013 [37], followed in 2015 by Torres (Chalmers) [28] and companies such as LioniX [38] and LigenTec [39] (EPFL spin-off). Guiding film heights ∼700–2500 nm, with waveguide widths ∼700–4000 nm and propagation loss of 0.04–1.37 dB/cm @ 1550 nm and 0.16–2.1 dB/cm @ 2600–3700 nm, for BOX heights in the range of 2.0–8.0 μ m have been reported.

3. Silicon Nitride Platform With 300 nm Film Height

The state of the art compiled in Table 1 is summarized in Table 2 in terms of the confinement and wavelength range. Cross-section dimensions determine the guiding properties of waveguides: number of modes, polarization dependence, confinement (loss), dispersion profile and non-linear propagation coefficient. Our goal was to have a versatile platform, covering the widest wavelength range as possible with two polarizations in the fundamental mode.
Firstly, through simulation (see appendix for details) we firstly determined the cut-off wavelength for the first order mode and the two polarizations, for strip waveguides with guiding film height and waveguide width ranges of 80–1200 nm and 300–2750 nm respectively. These cover most of the state of the art of Table 1. The results are shown in Figure 3. At the sight of graph and the TM 0 mode, previously reported platforms with film heights 80–220 nm were discarded, since at most this mode propagates up to λ 2.9 μ m for h = 220 nm.
Conversely, platforms reported with film heights of 400 nm and above are mainly used for non-linear optical signal processing, such as frequency comb and super-continuum generation [27,43,44,45]. However, non-linear applications are non exclusive of high confinement in the NIR and NIR+, since they have also been reported in the VIS+ range (cf. [46]). Whereas the former make use of thicker nitride guiding layers, the latter can be achieved with film heights in the range of 100–400 nm. From a fabrication point of view, these heights can be obtained in a single deposition step, with reduced risk of nitride cracking due to stress issues (see for instance [29]).
On other hand, when the goal is to minimize propagation loss, low or high confinement waveguides are considered. Confinement is related to propagation loss due to the interaction of the propagating mode with sidewall roughness [18]. Both low and high confinement minimize the effect of wall roughness. Whereas low confinement platforms are strongly polarization dependent (i.e., only one polarization is guided as for instance in [23]), high confinement ones suffer of multi-modal effects-lateral and vertical—(cf. [47]). Low confinement waveguides are usually employed in linear applications, such as optical delay lines, whereas high confinement waveguides aim at having the lowest non-linear effects threshold as possible.
In summary, the existing low and high confinement Si 3 N 4 platforms are best suited for specific applications, whereas moderate confinement platforms are versatile, at the cost of reduced performance (loss, non-linear threshold). Nonetheless, the latter can also be tweaked to tailor specific performance metrics, as it will be outlined in Section 4.
Owing to all the above, and aiming at covering a wavelength range from the VIS to the long NIR (400–3700 nm wavelength range) for photonic integrated applications such as biophotonics, tele/datacom and sensing, we developed a Si 3 N 4 on SiO 2 platform with Si 3 N 4 guiding film height of 300 nm. In this section, details on the fabrications processes and resulting linear operation performance in the optical telecom C-band (1550 nm wavelength range) for which the lab equipment was readily available, are reported. Characterization in the VIS and NIR+ will follow in subsequent papers. Modeling aspects for linear and non-linear propagation figures of merit are provided in the appendix.

3.1. Fabrication Process

The fabrication process makes use of 100 mm (4 inch) Si wafers. A layer stack of SiO 2 /Si 3 N 4 /SiO 2 is formed on top of the wafer as follows. Firstly, a SiO 2 buffer (2.5 μ m thick, n = 1.464) is grown by thermal oxidation of the silicon substrate. Following a Si 3 N 4 layer is deposited via Low Pressure Chemical Vapor Deposition (LPCVD), with thickness 300 nm (n = 2.01). The fabrication process parameters of the bilayer are selected in order to maintain the substrate as flat as possible, reducing the mechanical stress of the bilayer on the substrate. Two different waveguide structures are defined by photo-lithography with an i-line stepper (minimum feature size 500 nm) followed by a reactive ion etching (RIE) of the silicon nitride film. The 300 nm silicon nitride layer may be etched completely to form a strip waveguide structure (DEWVG in Figure 2), or the etching is done partially obtaining a rib waveguide structure (SHWVG, Figure 2) 300 nm/150 nm. By properly combining the mask layers on the design stage, a strip waveguide of just 150 nm height can be defined as well. Finally, a 2.0 μ m thick SiO 2 (n = 1.45) is deposited by Plasma Enhanced CVD (PECVD) to complete the different waveguiding cross-sections. In addition to the waveguides, two additional processes allow for defining the thermo-optic tuners (heaters) and selective area trenching (air wells). A metal heater (TOMOD, Figure 2) is obtained by sequential evaporation of 30 nm Chromium and 100 nm Gold, and defined by a lift-off process. The air wells (TRENCH) are opened into the SiO 2 cladding layer down to the bottom of the silicon nitride guiding layer by means of photo-lithography followed by a RIE step. The TRENCH openings are the interfaces between the photonic elements and the surrounding media, when applied for (bio)chemical sensors [48].

3.2. Measurement Setup

The Optical Frequency Domain Reflectometry (OFDR) setup employed [49,50,51] for the measurements was composed of imbalanced MZIs in standard single-mode fiber, fed by a scanning Tunable Laser (TL), Figure 4. The upper MZI includes the device under test (DUT), in our case the Si 3 N 4 chip in/out coupled with lensed fibers. The output lensed fiber is connected to a Polarization Beam Splitter (PBS), so two different interferograms are captured with two photo-detectors and registered through a digital acquisition (DAQ) card. This disposition prevents the destructive interfering effects due to polarization missalignment between both MZI arms, as described in [50,51]. The lower MZI provides the reference (or triggering) signal for the corrections of the TL wavelength sweeping phase error. As described in [49,50,51], the time responses of the DUT can be isolated after performing the Fast Fourier Transform (FFT) of the interferogramsD. When the setup is used in reflection mode, i.e., to determine waveguide propagation loss, a circulator is inserted between the DUT and the upper MZI arm. To check our measurement setup was working properly, we measured the full field transfer response of Arrayed Waveguide Gratings, and compared it with the classic transmission measurement. Further details on this and the OFDR setup can be found in [52].

3.3. Propagation Loss

The propagation loss was derived both from the backscattering of spiral waveguides (width 1.0 μ m) obtained via OFDR and the transmission spectrum of MZIs [53]. The spiral waveguide test structures are shown in the top panel of Figure 5, comprising lengths of 1 cm (bottom) and 1 + 2 cm (top). The bend radius employed in the spiral waveguides was 150 μ m for negligible bend loss as per full-vectorial mode solver simulations. The OFDR acquired measurement is shown within the same figure, where the in/out coupling events can be clearly identified as the high peaks at the beginning and end of the recorded trace. Over the trace, the range selected to perform a linear fit is graded on gray color. The measurement was repeated for several samples manufactured with the same process steps, with very similar results.
To cross-check the propagation loss value obtained through reflectometry, MZI test structures were also included in the designs. The MZI layout was devised so as to have the length difference only in the straight sections of the width of interest, with a bend radius of 50 μ m to reduce the footprint. The couplers employed in the MZI layout are 2 × 2 MMI couplers with even splitting ratio. A microscope picture of the MZI test structure is shown in Figure 5. Following the procedure described in [53], the four transmission spectra for the MZI were acquired by using a broadband Amplified Spontaneous Emission (ASE) source and an Optical Spectrum Analyzer (OSA). The propagation loss derived was in the range of 1.2–1.6 dB/cm.
Note in all the cases our devices were not subject to Si 3 N 4 annealing. The propagation loss is hence in agreement to similar waveguide cross-sections, cf. [21,36] and Table 1, so further propagation loss reduction in the optical telecom C-band can be expected for annealed Si 3 N 4 films (cf. [18]).

3.4. Group Index, Dispersion and Birefringence

In order to measure group index, dispersion and birefringence, ring resonator (RR) coupled with a 2 × 2 MMI to a straight waveguide was devised as test structure, where the MMI design was for even splitting, and the ring radius of the bent sections in the ring perimeter was 150 μ m, which as per our full-vectorial mode solver provides similar guiding characteristics (effective index versus wavelength) as straight waveguides of the same width (1.0 μ m). The RR total perimeter was 6.63 mm. A microscope picture of the fabricated device is shown in Figure 6a.
In the OFDR setup, the TL scanning speed was 40 nm/s with a 80 nm span (centered at 1555 nm). After performing the FFT of the interferograms, the power time response in Figure 6b was obtained. The different peaks in the trace correspond to multiple recirculations from the RR. Furthermore, the TE and TM splitting in time can also be observed. From the relative positions of the peaks in the trace and the dimensions of the ring, group indices for TE and TM were determined to be 1.892 and 1.717 respectively. For TE, a group index in the range of 1.90–1.92 was inferred from MZI spectra transmission measurements, which is in good agreement to that obtained through OFDR. The TE and TM propagation delay difference leads to a birefringence value of 0.168.
Next, by isolating several consecutive pulses, i.e., slicing the trace around the TE pulses and representing them centered at the same time, a broadening effect can be clearly observed, due to GVD, Figure 6c. Each truncated response, for which amplitude and phase information is present thanks to the OFDR measurement method, is transformed into the frequency domain to calculate the group delay [50,51], and linearly fitted between 1514 nm and 1594 nm to obtain the dispersion parameters D (ps/(nm m)), as shown in Figure 6d.
The obtained D values were not constant as should be expected, suggesting the measurement setup dispersion is added to that from the chip test structure. However, the multiple recirculations from the RR can be related in pairs to isolate a single round trip pass along the ring. Hence, Figure 6e shows the group delay difference between adjacent TE pulses, alongside with a linear fit and the estimated dispersion calculated over the wavelength range of interest. This results into an average dispersion of D = −1.43 ps/(nm m) with a relative error of ± 1.5 % . Note the measured value is in good agreement with the modeled waveguides, as described in the Appendix, Figure A1 and Figure A2. From these values it is straightforward to obtain the dispersion offset from the set-up as ( D L ) s e t u p = 0.0014467 ps/nm.

3.5. Thermo-Optic Phase Shifters

Aiming at the reconfiguration of PICs, various physical mechanisms exist and are present in the different technology platforms. Electro-absorption and electro-refraction are faster and use less energy, however the thermo-optic effect over the refractive index is larger, and induces less losses [54]. Despite their main drawbacks, power consumption and thermal cross-talk, and the proposed improvement alternatives [55,56] resorting to additional process steps, regular thermal tuners are simple and commonplace. However, most of the approaches in the literature, for all technologies, propose the use of long and wide tuners for linear phase-shift vs. driving power operation: in [57], a heater length of 2 mm is given, in [58], a 40 mm length tuner is presented and in [59], lengths above 600 μ m are employed, to cite a few. In terms of the length, long heaters are contrary to the spirit of PICs, where footprint ultimately determines the cost of the photonic circuit. Furthermore, a common given figure given in the literature is the switching power to obtain a phase shift of π , namely P π . For instance, in [58] with a technology similar to one being in this paper, a switching power of 350 mW, corresponding to a temperature increase of 40 C is reported.
The heater dimensions influence on the temperature gradient that is created in the waveguide cross-section. For the same amount of heat, that is for the same amount of electric consumed power at the heater, P, different temperature gradients result from heaters of different widths and lengths [60]. In Figure 7 four heaters with the same length, L h = 270 μ m, and widths of 5, 6, 7 and 8 μ m, are simulated for the same consumed power, with COMSOL MP software package. The silicon nitride waveguide, 1.0 × 0.3 μ m 2 sits on top of 2 μ m of buried oxide, which in turn was grown on top of a silicon wafer of thickness 500 μ m. In the simulations, the temperature at the bottom part of the silicon is fixed to 25 C. The voltage is set in the simulator for the wider heater ( w h = 8 μ m) to 2.5 V, for a previously determined resistance of ≃21 Ω ( P = 297.62 mW) (resistance measurements can be readily found in Figure 4 and Figure 5 on reference [60]). For the narrow heaters, V is set to provide the same power by using the resistance values from Figure 7. The resulting temperature gradient in the core of the silicon nitride waveguide is approximately 11 C more for the heater with narrower width. Hence, the same amount of heat is concentrated by narrow heaters, creating larger temperature gradients. Finally, Figure 7e shows the simulation results of the temperature required for a π phase shift vs. heater length. The waveguide core temperature with the SiO 2 cladding height of our platform is approximately a 58% that of the heater. As expected, shorter heaters require a larger temperature gradient to obtain the same phase shift. Therefore, there is a trade-off between heater footprint and resilience/durability (larger temperature gradients can damage the metal stack in the heater). The electrical power required to obtain a given temperature gradient in the core, is linked to the construction parameters of the heater, metal stack and width [60].
We investigated different heater configurations, different widths for a length of 1 mm, as well as different metal stacks, employing as test structures MZIs such as the one shown in Figure 8a. The MZIs were designed with a free spectral range (FSR) of 2 nm at 1550 nm. Hence, a π phase shift corresponds to 1 nm of wavelength shift in the spectral domain.
In Figure 8b, the performance of the thermal-tuners fabricate on a 100 nm Au and 30 nm Cr metal stack is shown, whereas Figure 8c the results are for heaters with a metal stack of 30 nm Au, 15 nm Ni and 10 nm Ti. Firstly, all the heaters with narrower metal width are more efficient (less power required for a π phase shift). This is consistent with the temperature gradient maps obtained through simulation, previously shown in Figure 7. Secondly, the maximum achievable phase shift does also depend on the heater width. By closes examining Figure 8b,c (the symbols, that correspond to actual measured values), one can notice how wider heaters can be operated to obtain larger phase shift range. This is due to the fact the heater gets damaged earlier for larger temperature gradients, which in turn occur for narrower heaters. Despite this cannot be inferred by comparing Figure 8b,c, in our experiments most of the heaters in Au/Cr (b) were damaged above certain operation power (temperature), whereas the ones with the Au/Ni/Ti metal stack (c) showed increased resiliency and durability.

3.6. Fiber in/out Coupling Structures

With the aim of enforcing the truly broadband nature of our platform, we resorted to edge in/out coupling building block developments, despite grating couplers are also feasible in the technology. Hence, two different types of structures were designed, fabricated and tested. Firstly, regular tapers in a deeply-etched cross-section of 300 nm height and 3.5 μ m width, secondly, inverted tapers using a combination of deeply-etched cross-sections of 300 and 150 nm, as shown in Figure 9a. Several test straight waveguides were measured using a broadband source ASE source and an OSA. The results are shown in Figure 9b and for regular and inverted tapers (left and right respectively). The plots present the optical transmission through the chip, normalized to that of the setup (lensed fibers directly faced). Hence, the plots include the loss for the in and out couplers. Therefore, regular tapers have approximately 3.5 dB of insertion loss per fiber point, whereas the inverted tapers have 1.5 dB of insertion loss.

3.7. Fabrication Process Steps Variations

The implications of different variations in the processing steps were investigated as well. The variations consisted on wafers with different combinations of buried oxide height, oxidation of the Si 3 N 4 waveguides after etching and rapid thermal annealing (RTA) of the cladding oxide after deposition. A summary of the combinations is given in Table 3.
The results are presented in Figure 10. The influence of oxidation in the propagation loss is comparatively presented in Figure 10a,b. Both show the OFDR measurement of spiral waveguide test structures, as the described above, for a wafer not subject to oxidation (a) and other where oxidation was applied after etching the waveguides (b). Two effects can be clearly appreciated. Firstly, the trace in (a) shows intensity peaks along the spiral (i.e., most relevant between z = 0.5 and z = 2.5 cm). The examination with a scanning electron microscope (SEM), revealed the waveguides had severe damage on the top edges and sidewalls, likely due to high energy centers caused by resist concentration points during etching. These peaks are removed with the oxidation, confirmed by SEM imaging of the sample for which the OFDR measurement is shown in (b). Secondly, the propagation loss difference between (a) and (b), obtained by comparing the fitted lines, is approximately 1 dB lower for the oxidized wafer.
The impact on the group index and dispersion is shown in Figure 10c,d respectively. Wafers R9510-W1 and R9511-W2, had different substrate height, 2.0 and 2.5 μ m respectively (Table 3). A change in the cross-section dimensions is expected to alter the guiding properties of light, to be precise, increasing the substrate height should result in larger effective index, due to improved guiding conditions. By comparing the symbols for these two wafers, red stars and green squares in Figure 10c, corresponding to 2.0 and 2.5 μ m respectively BOX height, an increase in the group index is appreciated. The group index is the addition of effective index and its first order dispersion. Both the effective index and its first order dispersion may be subject to changes due to the dimensions change. Our measurement method did not allow us, however, to gain further insight on the individual change of each.
Finally, the influence on the waveguide dispersion, that is, related to the second order derivative of the effective index, see appendix, measured from RR devices with the OFDR technique as previously shown, is presented in Figure 10d. Comparing once again R9510-W1 and R9511-W2 (red stars and green squares), a change in dispersion can be appreciated. However, in the same figure the impact of Si 3 N 4 oxidation can be clearly observed, by comparing the traces corresponding to R9510-W1 and R9510-W6 (red stars vs. black diamonds), both having 2.0 μ m substrate height, but only the latter is oxidized. The oxidation is at the expense of the Si 3 N 4 , which supposes and effective reduction of the waveguide dimensions. Hence, a change in the dimensions of the waveguide can be directly correlated with a change in the waveguide dispersion, as seen in the graph.

4. Prospects for Evolution

Si 3 N 4 is transparent from visible to mid-infrared wavelengths (470–6700 nm) [61], however it is usually combined with SiO 2 as substrate and cladding material. Up to the date, most of the applications have been restricted to wavelengths from VIS to the long NIR, cf. Table 1. Wavelengths in the long NIR and mid-infrared (MIR) are of high interest in applications such as trace gas analysis, chemical-biological sensing, environmental sensing, industrial process control, medical diagnostics, communications, defense and security and astronomy [62].
Hence, exploiting in full the intrinsic Si 3 N 4 transparency range, to encompass VIS, NIR and MIR wavelengths, would (broadly speaking) cover applications from bio-photonics, through tele/datacom up to sensing. Extending the operational wavelength range of Si 3 N 4 waveguide platforms, requires the use of wafer layer stacks and waveguide cross-sections without (or with little) SiO 2 , since this material’s absorption is considerable for λ > 3.4 μ m [63]. This might be accomplished in several ways, some of which are illustrated in Figure 11. In all the cases, the goal is to retain and reuse as many processing steps from the current platform, with minor modifications and additions, to result into a Si 3 N 4 waveguide structure surrounded by air, i.e., a Si 3 N 4 membrane. In all the cases, the membrane structure will have mechanical requirements (supporting structures), which are not discussed in the scope of this paper. Compared to silicon, Si 3 N 4 has comparatively negligible two-photon absorption in the NIR, and despite it’s Kerr nonlinear coefficient is smaller [64], this has enabled Si 3 N 4 as key platform for non-linear applications, such as supercontinuum and frequency comb generation [38,45]. Non-linear interaction can be enhanced by increasing the power confinement in the Si 3 N 4 material, which would be the case in the aforementioned approach, in short, eliminating the SiO 2 to create the Si 3 N 4 membrane. Note that the index contrast of the Si 3 N 4 membrane structure is larger than for the currently exiting SiO 2 /Si 3 N 4 /SiO 2 platforms. Hence, confinement is expected to be larger, and the impact of side wall roughness on the propagation loss should be lower. Furthermore, loss reduction would be also favored by the use of the proposed rib membrane waveguides, rather than the strip waveguide platforms discussed in this paper. Last but not least, dispersion tailoring for the proposed Si 3 N 4 membrane rib waveguide could be addressed by properly selecting the Si 3 N 4 deposition techniques (refractive index tuning), etch depth and width as in [65].
The first approach, shown in the upper part of Figure 11, consists on the under etching of the substrate SiO 2 , such as in [66], where under-etching of silicon films to create pillar waveguides is proposed, or as in [46] for Si 3 N 4 waveguides, in order to tailor the waveguide dispersion to attain supercontinuum generation in the VIS-NIR range. The proposed method in the figure is to add two process steps. Firstly, and after shallow waveguide etch step, holes should be defined in the Si 3 N 4 layer. Secondly, SiO 2 under-etch through these Si 3 N 4 holes would be performed. Whereas the addition of these two process steps can be considered relatively simple and cost-effective, there is at least one potential drawback, related to the isotropic nature of the under-etching process. This would have implications on the design stage, since the amount of isotropic under-etching would be pattern dependent, that is, conditioned by the features designed and defined in the Si 3 N 4 layer. Furthermore, how to address under-etching with densely integrated building blocks and circuits (e.g., an AWG) is an open concern as well. In conclusion, this technique would be pattern dependent, and as such, would require case by case analysis, which is not desirable from a production point of view.
A second alternative, shown in the middle part of Figure 11, is proposed after reference [67], where two silicon wafers are employed, one to etch air trenches in the silicon, and the other flip-bonded to the first, where the waveguides are defined. In this case, the SiO 2 etch can be attained with dry etching techniques, therefore defining the air trenches very precisely, in comparison with the previous approach. Nonetheless, two wafers are required, plus a likely challenging alignment and bonding step in production. Hence, despite its advantage in terms of lithography, this technique may be considered comparatively less cost-effective.
As third alternative, we propose employing a single wafer, and one additional etch step from the backside of the wafer, as represented in the lower part of Figure 11. In this approach, the rear visual alignment (through silicon and nitride) of the mask to etch the silicon away can be considered well feasible, with alignment motives defined in the nitride guiding layer in the same shallow waveguide photolithography step. The SiO 2 would then be used as etch stop, and removed by chemical means afterwards. Hence, there would be no need to subject the silicon wafer to a long thermal oxidation initial step, as in the current existing process.

5. Conclusions

In this paper, a review of the present state of the art for strip waveguide based silicon nitride photonics platform has been presented. The review has been complemented with modeling and experimental results for a versatile 300 m Si 3 N 4 guiding film height platform, with canonical waveguide width of 1 μ m. The choice of advanced full-field characterization techniques and suitable test structures, allowed to obtain the propagation loss of 1.4 dB/cm, group index of 1.9, birefringence of 0.168 and dispersion of −1.4 ps/nm m. Owing to the fact Si 3 N 4 is a transparent material from the visible to the mid-infrared, means to upgrade the platform for broadband operation have been proposed, enabling the use of these platforms for a wide range of wavelengths and applications, such as biophotonics, tele/datacom, optical signal processing and sensing.

Acknowledgments

The authors acknowledge financial support through projects TEC2013-42332-P, TEC2015-69787-REDT PIC4TB, TEC2016-80385-P SINXPECT, TEC2014-54449-C3-1-R, GVA PROMETEO 2013/012 and EC H2020-ICT-27-2015 PICs4all. G.M. acknowledges BES-2014-068523, L.A.B. acknowledges PTA2015-11309-I, J.F. acknowledges DI-15-08031 and R.B. acknowledges PTQ-15-07966.

Author Contributions

P.M., J.D.D. and C.D. defined the integration platform. J.D.D. developed the platform process design kit and design manual. P.M., G.M., D.Pe., J.D.D., R.B., J.F. and B.G. defined and designed the platform building blocks and test structures. L.A.B. and D.Pa. developed the optical frequency domain reflectometry measurement setup. A.M.S., J.M.C., R.M. and C.D. developed the manufacturing processes and fabricated the devices. G.M., L.A.B., D.Pa. and R.B. characterized the waveguide test structures. D.Pe. and R.A. measured the thermo-optic heaters. R.B. characterized the inverted tapers. P.M. wrote the paper with the support of all the co-authors.

Conflicts of Interest

The authors declare no conflict of interest.

Appendix A. Refractive Index Models

Despite a large number of references exist in the literature dealing with the optical properties of Si 3 N 4 and SiO 2 , only two provide information on a very wide wavelength range. The following equations describing the real part of the refractive index given by Lipson E.A. for Si 3 N 4 [27] ( λ [310, 5504] nm)and by Tan E.A. for SiO 2 [68] ( λ [0.21, 6.7] μ m) were used in the simulations:
n S i 3 N 4 ( λ [ n m ] ) = 1 + 3.0249 λ 2 λ 2 135.3406 2 + 40314 λ 2 λ 2 1239842 2
n S i O 2 ( λ [ μ m ] ) = 1 + 0.69616663 λ 2 λ 2 0.0684043 2 + 0.4079426 λ 2 λ 2 0.1162414 2 + 0.8974794 λ 2 λ 2 9.896161 2
Since Si 3 N 4 is known to be transparent from the visible to the mid-infrared [61], no imaginary part was included in the simulations for the refractive index. Conversely, the imaginary part for SiO 2 was taken into account according to the data provided by Kitamura R. [63]. In our case we employed a cubic spline interpolation of the data supplied in Table A1.
Table A1. Imaginary part for the refractive index of SiO 2 , after [63].
Table A1. Imaginary part for the refractive index of SiO 2 , after [63].
λ [ μ m]0.40.60.811.251.51.71.92.12.3
k S i O 2 7.0 × 10 8 7.0 × 10 8 8.5 × 10 8 9.0 ×  10 8 2.0 × 10 7 3.0 × 10 7 4.0 × 10 7 7.0 × 10 7 8.0 × 10 7 1.0 × 10 6
λ [ μ m ] 2.52.752.853.03.13.153.33.53.75-
k S i O 2 2.0 × 10 6 7.0 × 10 6 2.0 × 10 5 3.595 × 10 5 7.0 × 10 6 5.0 × 10 6 4.5 × 10 6 1.5 × 10 5 3.595 × 10 5 -

Appendix B. Numerical Simulation

A rib waveguide structure was defined in the software tool OptoDesigner™ by PhoeniX Software b.v. [69]. The dimensions of the waveguide cross-section and the wavelength range were chosen in order to cover all the platforms summarized in Table 1. Each reported platform employs different substrated and cladding SiO 2 heights. These height influence propagation parameters such as leakage loss, but since the growth of different heights of SiO 2 is not a hard technical problem, other than using the right recipe and fabrication time, in the simulations a fixed height of 4.0 μ m was used both for the substrate and cladding SiO 2 . The SiO 2 wavelength dependent index was set according to Equation (A2) and Table A1. For the guiding layer, despite different platforms employ different fabrication methods (mainly PECVD and LPVCD), resulting into non-stoichiometrix (e.g., as the Si rich SiN x of [28,37]) and stoichiometric (Si 3 N 4 ), in the simulations we set the guiding layer to be Si 3 N 4 grown by LPCVD as indicated by Luke e.a. in [27], and therefore we employed the index reported by them through ellipsometry measurements, given in Equation (A1).
The Si 3 N 4 guiding layer height was one in the set of {80, 100, 150, 220, 300, 400, 600, 800, 1200} nm (9 points), the width was in the set of {2800, 2700, 2000, 1650, 1200, 1000, 900, 800, 700, 600, 400, 500, 300} nm (13 points) and the wavelength range covered in the simulations spans from λ 0 = 470 nm to λ 1 = 3700 nm, to be precise {470, 533, 630, 780, 850, 900, 1050, 1100, 1150, 1200, 1250, 1300, 1350, 1450, 1520, 1530, 1550, 1600, 1700, 1800, 1900, 2100, 2150, 2200, 2400, 2500, 2550, 2600, 2650, 2700, 2750, 2800, 2850, 2900, 2950, 3000, 3100, 3150, 3200, 3300, 3350, 3400, 3500, 3550, 3600, 3650, 3700} nm (47 points), amounting for a total of 5499 iterations. OptoDesigner 5.0.3 (PhoeniX Software bv, Enschede, The Netherlands) version was employed, in a machine with Linux Ubuntu 14.04.3 with Intel Core [email protected] GHz (Intel Corp., Santa Clara, CA, USA) processors (4 cores) and 8 GB RAM memory. Each iteration took 30 seconds, to solve the TE and TM modes, and to store the effective indices and the field components found for the cross-section.

Appendix C. Bernstein-Bézier Curve Fitting

Appendix C.1. Bernstein Polynomials

Bernstain polynomials are given by:
B ( t ) = i = 0 n b i , n ( t ) P i
where the basis functions are:
b i , n ( t ) = n i t i ( 1 t ) n i
When t is restricted to t [ 0 , 1 ] , these polynomials are commonly recognized as the Bézier curves [70]. The first and second derivatives of Equations (A3) are given by:
B ( t ) = n i = 0 n 1 b i , n 1 ( t ) ( P i + 1 P i )
B ( t ) = n ( n 1 ) i = 0 n 2 b i , n 2 ( t ) ( P i + 2 2 P i + 1 + P i )
All the magnitudes (effective index, group index, dispersion, confinement and non-linear coefficient) obtained, were computed for combinations of Si 3 N 4 height (h), waveguide width (w) and wavelength ( λ ). The fits performed using Bézier polynomials are for a given value of h, using as independent variables (w, λ ). Since the Bézier polynomials independent variable is restricted to the interval [0, 1], the following normalized width and wavelength variables are defined:
W = w w 0 w 1 w 0
Λ = λ λ 0 λ 1 λ 0
where w 0 = 300 nm, w 1 = 2800 nm, λ 0 = 470 nm and λ 1 = 3700 nm.

Appendix C.2. Effective Index, Group Index and Group Velocity Dispersion

For the effective index, a first fit is performed for a given height h, each wavelength Λ , with W as independent variable, using the equation:
n e ( W , Λ ) = i = 0 n b i , n ( W ) E i ( Λ )
A second fit is performed for each of the obtained wavelength dependent coefficients, E i ( Λ ) , employing a Bézier polynomial as well, that is:
E i ( Λ ) = j = 0 m b j , m ( Λ ) E i , j
Once the effective index has been fit, the same set of coefficients may be used to derive the group index and group velocity dispersion as:
n g ( W , Λ ) = n e ( W , Λ ) 1 Δ λ n e ( W , Λ ) Λ
where Δ λ = λ 1 λ 0 . Hence:
n e ( W , Λ ) Λ = i = 0 n b i , n ( W ) E i ( Λ ) Λ
and consequently the coefficients for the group index are given by:
E i ( Λ ) = E i ( Λ ) Λ = m j = 0 m b j , m 1 ( Λ ) ( E i , j + 1 E i , j )
For the group velocity dispersion, using the second derivative of the effective index:
D ( W , Λ ) = λ c 1 Δ λ 2 2 n e ( W , Λ ) Λ 2
This requires computing the second derivative of the Bézier polynomial for the wavelength fit:
2 n e ( W , Λ ) 2 Λ = i = 0 n b i , n ( W ) 2 E i ( Λ ) 2 Λ
E i ( Λ ) = 2 E i ( Λ ) Λ 2 = m ( m 1 ) j = 0 m b j , m 2 ( Λ ) ( E i , j + 2 2 E i , j + 1 + E i , j )
Figure A1. Linear propagation properties: group index, dispersion and confinement, vs. wavelength, for different waveguide widths.
Figure A1. Linear propagation properties: group index, dispersion and confinement, vs. wavelength, for different waveguide widths.
Sensors 17 02088 g012
Figure A2. Enlarged view of the dispersion parameter, and non-linear propagation parameter, vs. wavelength, for different waveguide widths, for TE 0 .
Figure A2. Enlarged view of the dispersion parameter, and non-linear propagation parameter, vs. wavelength, for different waveguide widths, for TE 0 .
Sensors 17 02088 g013

Appendix C.3. Confinement and Non-Linear Coefficient

Table A2. Effective index Bézier curve coefficients for h = 300 nm.
Table A2. Effective index Bézier curve coefficients for h = 300 nm.
E i , j h = 300 nm, TE 0
j↓ / i012345
01.87762132.1229181.83389752.10359481.95776281.9983654
11.59342362.04447461.56281351.99561841.77101331.8322354
21.43627592.13942311.37692422.10794491.70483311.8222323
31.18197611.64132741.71664671.4043911.71719031.6057694
41.84814780.406731473.39839560.502109632.12116861.6983453
51.06414212.472913−0.556563573.09076730.837957381.5242143
61.68457980.715922652.90175140.434280662.07512211.5781534
71.29569661.7863150.684645992.04938621.19506691.4802472
81.46356261.28743771.70041491.24678951.57648151.4858896
91.39657931.43994911.34591261.48399611.41663151.4509043
101.39946481.39428411.41133421.40917121.42897071.4336455
111.38638661.38676271.38950391.39979341.40723651.4142388
E i , j h = 300 nm, TM 0
j↓ / i→012345
01.88874012.00119591.89742881.98852331.94365351.9559063
11.6094551.82648551.65154911.80199141.73109311.7505446
21.46409871.82617391.4865071.8023941.63858241.6865425
31.08222361.53661871.28862161.45965291.42860291.4308623
42.0488530.636012972.86961450.840748351.92120711.6511395
50.822089932.5255386−0.526500712.63679290.874199271.3632737
61.87389470.558869643.09850970.388080821.98331921.5423074
71.18964821.90917980.485956162.07058611.13221821.4061594
81.50396531.22949821.79200951.17144971.55588121.4495353
91.38496561.45509451.31475741.4811891.38486541.4170576
101.39818071.39353681.40805251.3960631.40870571.4083623
111.38105281.39135081.37867451.39429461.38964211.3937298
Table A3. γ c o r e Bézier curve coefficients for h = 300 nm.
Table A3. γ c o r e Bézier curve coefficients for h = 300 nm.
g i , j h = 300 nm, TM 0
j↓ / i→012345
03.83621072.2956705−0.521597352.4326060.137973590.68709093
1−0.714492532.7699387−2.62703672.2577456−0.49803620.21254014
2−1.10859445.5553406−7.01302715.9631147−1.89826440.34649937
31.4642427−10.34314818.209874−15.2980766.2120016−0.40174322
4−0.990879579.9119809−18.88042117.320314−7.27645960.60477957
50.34561903−6.998606514.116331−13.415945.9589326−0.43974132
60.0649120413.7130759−7.9793167.990713−3.60807340.30824375
7−0.15910676−1.44920673.351523−3.52606981.6639435−0.13072975
80.0968072260.3972896−1.01195071.1341267−0.542273260.05266007
9−0.031401585−0.0689902850.20015457−0.239317820.12271787−0.0081222481
100.00507523220.0060853095−0.0216681930.028655974−0.0130776660.0030896597
11−0.000207834922.2365601 × 10 6 0.0002953014−0.000351868240.00120137960.0010157015
g i , j h = 300 nm, TM 0
j↓ / i→012345
02.62086625.5999985−5.48846.2828556−1.34335120.7773674
12.7811741.04001751.9201062−0.0732264421.09022260.54736235
2−5.061628617.421036−24.02339919.786281−7.00383430.84581743
34.6521823−19.93511139.006147−32.7619714.415252−0.53697909
4−3.345367711.697524−24.93591124.847549−11.5264510.87700597
52.0112132−3.86897269.4648453−10.4119796.0538706−0.19157833
6−0.9336221−0.62343138−0.116053261.8652278−1.6299880.15450445
70.307089371.6378095−2.56579011.4317783−0.0245438560.079989325
8−0.061480214−0.994775481.708361−1.16948720.344689780.0032759916
90.0040721120.32461521−0.580861310.44066724−0.117602060.031118024
100.00066290515−0.0516101240.092767063−0.0680049630.0351753350.010901261
11−0.000465452810.0026939267−0.0050115920.00576838310.00533856660.0083902458
A similar approach was followed for these two parameters and the expressions are analogue to Equations (A10)–(A13) above:
Γ ( W , Λ ) = i = 0 n b i , n ( W ) j = 0 m b j , m ( Λ ) G i , j
γ ( W , Λ ) = i = 0 n b i , n ( W ) j = 0 m b j , m ( Λ ) g i , j
γ ( W , Λ ) n 2 n 2 = i = 0 n b i , n ( W ) j = 0 m b j , m ( Λ ) g i , j

References

  1. Macher, J.T.; Mowery, D.C. Vertical specialization and industry structure in high technology industries. In Business Strategy Over the Industry Lifecycle; Baum, J.A.C., McGahan, A.M., Eds.; Emerald Group Publishing Limited: Bingley, UK, 2004; pp. 317–355. [Google Scholar]
  2. Nenni, D.; McLellan, P.M. Fabless: The Transformation of the Semiconductor Industry; CreateSpace Independent Publishing Platform: Colorado Springs, CO, USA, 2014. [Google Scholar]
  3. Munoz, P. Photonic integration in the palm of your hand: Generic technology and multi-project wafers, technical roadblocks, challenges and evolution. In Proceedings of the 2017 Optical Fiber Communications Conference and Exhibition (OFC), Los Angeles, CA, USA, 19–23 March 2017; pp. 1–3. [Google Scholar]
  4. Lim, A.E.J.; Song, J.; Fang, Q.; Li, C.; Tu, X.; Duan, N.; Chen, K.K.; Tern, R.P.C.; Liow, T.Y. Review of silicon photonics foundry efforts. IEEE J. Sel. Top. Quantum Electron. 2014, 20, 405–416. [Google Scholar] [CrossRef]
  5. Smit, M.; Leijtens, X.; Ambrosius, H.; Bente, E.; Van der Tol, J.; Smalbrugge, B.; De Vries, T.; Geluk, E.J.; Bolk, J.; Van Veldhoven, R.; et al. An introduction to InP-based generic integration technology. Semiconduct. Sci. Technol. 2014, 29, 083001. [Google Scholar] [CrossRef]
  6. Leinse, A.; Heideman, R.; Hoekman, M.; Schreuder, F.; Falke, F.; Roeloffzen, C.; Zhuang, L.; Burla, M.; Marpaung, D.; Geuzebroek, D.; et al. TriPleX waveguide platform: Low-loss technology over a wide wavelength range. In Proceedings of Integrated Photonics: Materials, Devices, and Applications II.; SPIE: Bellingham, WA, USA, 2013; pp. 1–13. [Google Scholar]
  7. Kischkat, J.; Peters, S.; Gruska, B.; Semtsiv, M.; Chashnikova, M.; Klinkmüller, M.; Fedosenko, O.; Machulik, S.; Aleksandrova, A.; Monastyrskyi, G.; et al. Mid-infrared optical properties of thin films of aluminum oxide, titanium dioxide, silicon dioxide, aluminum nitride, and silicon nitride. Appl. Opt. 2012, 51, 6789–6798. [Google Scholar] [CrossRef] [PubMed]
  8. Malik, A.; Muneeb, M.; Shimura, Y.; Campenhout, J.V.; Loo, R.; Roelkens, G. Germanium-on-silicon mid-infrared waveguides and Mach-Zehnder interferometers. In Proceedings of the IEEE Photonics Conference, Bellevue, WA, USA, 8–12 September 2013; pp. 104–105. [Google Scholar]
  9. Malik, A.; Muneeb, M.; Pathak, S.; Shimura, Y.; Campenhout, J.V.; Loo, R.; Roelkens, G. Germanium-on-Silicon Mid-Infrared Arrayed Waveguide Grating Multiplexers. IEEE Photonics Technol. Lett. 2013, 25, 1805–1808. [Google Scholar] [CrossRef] [Green Version]
  10. Malik, A.; Muneeb, M.; Shimura, Y.; Campenhout, J.V.; Loo, R.; Roelkens, G. Germanium-on-silicon planar concave grating wavelength (de)multiplexers in the mid-infrared. Appl. Phys. Lett. 2013, 103, 161119. [Google Scholar] [CrossRef]
  11. Shen, L.; Healy, N.; Mitchell, C.J.; Penades, J.S.; Nedeljkovic, M.; Mashanovich, G.Z.; Peacock, A.C. Mid-infrared all-optical modulation in low-loss germanium-on-silicon waveguides. Opt. Lett. 2015, 40, 268–271. [Google Scholar] [CrossRef] [PubMed]
  12. Heck, M.J.R.; Bauters, J.F.; Davenport, M.L.; Doylend, J.K.; Jain, S.; Kurczveil, G.; Srinivasan, S.; Tang, Y.; Bowers, J.E. Hybrid Silicon Photonic Integrated Circuit Technology. IEEE J. Sel. Top. Quantum Electron. 2013, 19, 6100117. [Google Scholar] [CrossRef]
  13. Garces, I.; Villuendas, F.; Valles, J.A.; Dominguez, C.; Moreno, M. Analysis of leakage properties and guiding conditions of rib antiresonant reflecting optical waveguides. J. Lightw. Technol. 1996, 14, 798–805. [Google Scholar] [CrossRef]
  14. Krimmel, E.F.; Hezel, R. Si Silicon: Silicon Nitride in Microelectronics and Solar Cells; Springer: Berlin/Heidelberg, Germany, 1991. [Google Scholar]
  15. Stutius, W.; Streifer, W. Silicon nitride films on silicon for optical waveguides. Appl. Opt. 1977, 16, 3218–3222. [Google Scholar] [CrossRef] [PubMed]
  16. Heideman, R.G.; Kooyman, R.P.H.; Greve, J. Performance of a highly sensitive optical waveguide Mach-Zehnder interferometer immunosensor. Sens. Actuators B Chem. 1993, 10, 209–217. [Google Scholar] [CrossRef]
  17. Schipper, E.F.; Brugman, A.M.; Dominguez, C.; Lechuga, L.M.; Kooyman, R.P.H.; Greve, J. The realization of an integrated Mach-Zehnder waveguide immunosensor in silicon technology. Sens. Actuators B Chem. 1997, 40, 147–153. [Google Scholar] [CrossRef]
  18. Shaw, M.J.; Guo, J.; Vawter, G.A.; Habermehl, S.; Sullivan, C.T. Fabrication techniques for low-loss silicon nitride waveguides. In Proceedings of the Photonics West 2005, San Jose, CA, USA, 22–27 January 2005; SPIE: Bellingham, WA, 2005; pp. 109–118. [Google Scholar]
  19. Melchiorri, M.; Daldosso, N.; Sbrana, F.; Pavesi, L.; Pucker, G.; Kompocholis, C.; Bellutti, P.; Lui, A. Propagation losses of silicon nitride waveguides in the near-infrared range. Appl. Phys. Lett. 2005, 86, 121111. [Google Scholar] [CrossRef]
  20. Worhoff, K.; Klein, E.; Hussein, G.; Driessen, A. Silicon oxynitride based photonics. In Proceedings of the IEEE 10th Anniversary International Conference on Transparent Optical Networks, Athens, Greece, 22–26 June 2008; Volume 3, pp. 266–269. [Google Scholar]
  21. Mao, S.C.; Tao, S.H.; Xu, Y.L.; Sun, X.W.; Yu, M.B.; Lo, G.Q.; Kwong, D.L. Low propagation loss SiN optical waveguide prepared by optimal low-hydrogen module. Opt. Express 2008, 16, 20809–20816. [Google Scholar] [CrossRef] [PubMed]
  22. Bauters, J.F.; Heck, M.J.R.; John, D.; Dai, D.; Tien, M.C.; Barton, J.S.; Leinse, A.; Heideman, R.G.; Blumenthal, D.J.; Bowers, J.E. Ultra-low-loss high-aspect-ratio Si3N4 waveguides. Opt. Express 2011, 19, 3163–3174. [Google Scholar] [CrossRef] [PubMed]
  23. Bauters, J.F.; Heck, M.J.R.; John, D.D.; Barton, J.S.; Bruinink, C.M.; Leinse, A.; Heideman, R.G.; Blumenthal, D.J.; Bowers, J.E. Planar waveguides with less than 0.1 dB/m propagation loss fabricated with wafer bonding. Opt. Express 2011, 19, 24090–24101. [Google Scholar] [CrossRef] [PubMed]
  24. Romero-García, S.; Merget, F.; Zhong, F.; Finkelstein, H.; Witzens, J. Silicon nitride CMOS-compatible platform for integrated photonics applications at visible wavelengths. Opt. Express 2013, 21, 14036–14046. [Google Scholar] [CrossRef] [PubMed]
  25. Subramanian, A.Z.; Neutens, P.; Dhakal, A.; Jansen, R.; Claes, T.; Rottenberg, X.; Peyskens, F.; Selvaraja, S.; Helin, P.; Du Bois, B.; et al. Low-Loss Singlemode PECVD Silicon Nitride Photonic Wire Waveguides for 532–900 nm Wavelength Window Fabricated Within a CMOS Pilot Line. IEEE Photonics J. 2013, 5, 2202809. [Google Scholar] [CrossRef] [Green Version]
  26. Kippenberg, T.J.; Holzwarth, R.; Diddams, S.A. Microresonator-Based Optical Frequency Combs. Science 2011, 332, 555–559. [Google Scholar] [CrossRef] [PubMed]
  27. Luke, K.; Okawachi, Y.; Lamont, M.R.E.; Gaeta, A.L.; Lipson, M. Broadband mid-infrared frequency comb generation in a Si3N4 microresonator. Opt. Lett. 2015, 40, 4823–4826. [Google Scholar] [CrossRef] [PubMed]
  28. Krückel, C.J.; Fülöp, A.; Klintberg, T.; Bengtsson, J.; Andrekson, P.A.; Torres-Company, V. Linear and nonlinear characterization of low-stress high-confinement silicon-rich nitride waveguides. Opt. Express 2015, 23, 25827–25837. [Google Scholar] [CrossRef] [PubMed]
  29. Luke, K.; Dutt, A.; Poitras, C.B.; Lipson, M. Overcoming Si3N4 film stress limitations for high quality factor ring resonators. Opt. Express 2013, 21, 22829–22833. [Google Scholar] [CrossRef] [PubMed]
  30. Doménech, D.; Noz, P.M.; Sánchez, A.; Cirera, J.M.; Domínguez, C. Generic Silicon Nitride Foundry Development: Open access to low cost photonic integrated circuits prototyping. In Proceedings of the Opto-Electronics Conference (OPTOEL), Salamanca, Spain, 29 June–2 July 2015. [Google Scholar]
  31. Shang, K.; Pathak, S.; Guan, B.; Liu, G.; Yoo, S.J.B. Low-loss compact multilayer silicon nitride platform for 3D photonic integrated circuits. Opt. Express 2015, 23, 21334–21342. [Google Scholar] [CrossRef] [PubMed]
  32. Muellner, P.; Maese-Novo, A.; Melnik, E.; Hainberger, R.; Koppitsch, G.; Kraft, J.; Meinhardt, G. CMOS-compatible low-loss silicon nitride waveguide integration platform for interferometric sensing. In Proceedings of the European Conference on Integrated Optics, Warsaw, Poland, 18–20 May 2016. [Google Scholar]
  33. Morichetti, F.; Melloni, A.; Martinelli, M.; Heideman, R.G.; Leinse, A.; Geuzebroek, D.H.; Borreman, A. Box-Shaped Dielectric Waveguides: A New Concept in Integrated Optics? J. Lightw. Technol. 2007, 25, 2579–2589. [Google Scholar] [CrossRef]
  34. Leinse, A.; Heideman, R.G.; Klein, E.J.; Dekker, R.; Roeloffzen, C.G.H.; Marpaung, D.A.I. TriPleX platform technology for photonic integration: Applications from UV through NIR to IR. In Proceedings of the ICO International Conference on Information Photonics, Ottawa, ON, Canada, 18–20 May 2011; pp. 1–2. [Google Scholar]
  35. Zhuang, L.; Marpaung, D.; Burla, M.; Khan, M.; Roeloffzen, C.; Beeker, W.; Leinse, A.; Heideman, R. On-chip Microwave Photonic Signal Processors in Low-Loss, High-Index-Contrast Si3N4/SiO2 Waveguides. In Proceedings of the 16th European Conference on Integrated Optics (ECIO), Barcelona, Spain, 18–20 April 2012. [Google Scholar]
  36. Sacher, W.D.; Huang, Y.; Lo, G.Q.; Poon, J.K.S. Multilayer Silicon Nitride-on-Silicon Integrated Photonic Platforms and Devices. J. Lightw. Technol. 2015, 33, 901–910. [Google Scholar] [CrossRef]
  37. Lin, P.T.; Singh, V.; Kimerling, L.; Agarwal, A.M. Planar silicon nitride mid-infrared devices. Appl. Phys. Lett. 2013, 102. [Google Scholar] [CrossRef]
  38. Epping, J.P.; Hoekman, M.; Mateman, R.; Leinse, A.; Heideman, R.G.; van Rees, A.; van der Slot, P.J.M.; Lee, C.J.; Boller, K.J. High confinement, high yield Si3N4 waveguides for nonlinear optical applications. Opt. Express 2015, 23, 642–648. [Google Scholar] [CrossRef] [PubMed]
  39. Pfeiffer, M.H.P.; Kordts, A.; Brasch, V.; Zervas, M.; Geiselmann, M.; Jost, J.D.; Kippenberg, T.J. Photonic Damascene process for integrated high-Q microresonator based nonlinear photonics. Optica 2016, 3, 20–25. [Google Scholar] [CrossRef]
  40. Huang, Y.; Song, J.; Luo, X.; Liow, T.Y.; Lo, G.Q. CMOS compatible monolithic multi-layer Si3N4-on-SOI platform for low-loss high performance silicon photonics dense integration. Opt. Express 2014, 22, 21859–21865. [Google Scholar] [CrossRef] [PubMed]
  41. Shao, Z.; Chen, Y.; Chen, H.; Zhang, Y.; Zhang, F.; Jian, J.; Fan, Z.; Liu, L.; Yang, C.; Zhou, L.; et al. Ultra-low temperature silicon nitride photonic integration platform. Opt. Express 2016, 24, 1865–1872. [Google Scholar] [CrossRef] [PubMed]
  42. Lin, P.T.; Singh, V.; Lin, H.Y.G.; Tiwald, T.; Kimerling, L.C.; Agarwal, A.M. Low-Stress Silicon Nitride Platform for Mid-Infrared Broadband and Monolithically Integrated Microphotonics. Adv. Opt. Mater. 2013, 1, 732–739. [Google Scholar] [CrossRef]
  43. Okawachi, Y.; Saha, K.; Levy, J.S.; Wen, Y.H.; Lipson, M.; Gaeta, A.L. Octave-spanning frequency comb generation in a silicon nitride chip. Opt. Lett. 2011, 36, 3398–3400. [Google Scholar] [CrossRef] [PubMed]
  44. Moss, D.J.; Morandotti, R.; Gaeta, A.L.; Lipson, M. New CMOS-compatible platforms based on silicon nitride and Hydex for nonlinear optics. Nat. Photonics 2013, 7, 597–607. [Google Scholar] [CrossRef]
  45. Epping, J.P.; Hellwig, T.; Hoekman, M.; Mateman, R.; Leinse, A.; Heideman, R.G.; van Rees, A.; van der Slot, P.J.; Lee, C.J.; Fallnich, C.; et al. On-chip visible-to-infrared supercontinuum generation with more than 495 THz spectral bandwidth. Opt. Express 2015, 23, 19596–19604. [Google Scholar] [CrossRef] [PubMed]
  46. Zhao, H.; Kuyken, B.; Clemmen, S.; Leo, F.; Subramanian, A.; Dhakal, A.; Helin, P.; Severi, S.; Brainis, E.; Roelkens, G.; et al. Visible-to-near-infrared octave spanning supercontinuum generation in a silicon nitride waveguide. Opt. Lett. 2015, 40, 2177–2180. [Google Scholar] [CrossRef] [PubMed]
  47. Kordts, A.; Pfeiffer, M.H.P.; Guo, H.; Brasch, V.; Kippenberg, T.J. Higher order mode suppression in high-Q anomalous dispersion SiN microresonators for temporal dissipative Kerr soliton formation. Opt. Lett. 2016, 41, 452–455. [Google Scholar] [CrossRef] [PubMed]
  48. Maldonado, J.; González-Guerrero, A.B.; Domínguez, C.; Lechuga, L.M. Label-free bimodal waveguide immunosensor for rapid diagnosis of bacterial infections in cirrhotic patients. Biosens. Bioelectron. 2016, 85, 310–316. [Google Scholar] [CrossRef] [PubMed]
  49. Glombitza, U.; Brinkmeyer, E. Coherent frequency-domain reflectometry for characterization of single-mode integrated-optical waveguides. J. Lightw. Technol. 1993, 11, 1377–1384. [Google Scholar] [CrossRef]
  50. Soller, B.J.; Gifford, D.K.; Wolfe, M.S.; Froggatt, M.E. High resolution optical frequency domain reflectometry for characterization of components and assemblies. Opt. Express 2005, 13, 666–674. [Google Scholar] [CrossRef] [PubMed]
  51. Gifford, D.K.; Soller, B.J.; Wolfe, M.S.; Froggatt, M.E. Optical vector network analyzer for single-scan measurements of loss, group delay, and polarization mode dispersion. Appl. Opt. 2005, 44, 7282–7286. [Google Scholar] [CrossRef] [PubMed]
  52. Bru, L.; Gargallo, B.; Mico, G.; Banos, R.; Domenech, J.; Sanchez, A.; Mas, R.; Pardo, E.; Pastor, D.; Munoz, P. Optical Frequency Domain Reflectometry applied to Photonic Integrated Circuits. In Proceedings of the European Conference on Integrated Optics, Warsaw, Poland, 20–21 May 2016. [Google Scholar]
  53. Tran, M.A.; Komljenovic, T.; Hulme, J.C.; Davenport, M.L.; Bowers, J.E. A Robust Method for Characterization of Optical Waveguides and Couplers. IEEE Photonics Technol. Lett. 2016, 28, 1517–1520. [Google Scholar] [CrossRef]
  54. Nedeljkovic, M.; Soref, R.; Mashanovich, G.Z. Free-carrier electrorefraction and electroabsorption modulation predictions for silicon over the 1–14-μm infrared wavelength range. IEEE Photonics J. 2011, 3, 1171–1180. [Google Scholar] [CrossRef]
  55. Hosseini, N.; Dekker, R.; Hoekman, M.; Dekkers, M.; Bos, J.; Leinse, A.; Heideman, R. Stress-optic modulator in TriPleX platform using a piezoelectric lead zirconate titanate (PZT) thin film. Opt. Express 2015, 23, 14018–14026. [Google Scholar] [CrossRef] [PubMed]
  56. Gilardi, G.; Yao, W.; Haghighi, H.R.; Leijtens, X.J.; Smit, M.K.; Wale, M. Deep trenches for thermal crosstalk reduction in InP-based photonic integrated circuits. J. Lightw. Technol. 2014, 32, 4262–4268. [Google Scholar] [CrossRef]
  57. Roeloffzen, C.G.; Zhuang, L.; Taddei, C.; Leinse, A.; Heideman, R.G.; van Dijk, P.W.; Oldenbeuving, R.M.; Marpaung, D.A.; Burla, M.; Boller, K.J. Silicon nitride microwave photonic circuits. Opt. Express 2013, 21, 22937–22961. [Google Scholar] [CrossRef] [PubMed]
  58. Hai, M.S.; Leinse, A.; Veenstra, T.; Liboiron-Ladouceur, O. A Thermally Tunable 1 × 4 Channel Wavelength Demultiplexer Designed on a Low-Loss Si3N4 Waveguide Platform. Photonics 2015, 2, 1065–1080. [Google Scholar] [CrossRef]
  59. Chen, H.W.; Fang, A.W.; Peters, J.D.; Wang, Z.; Bovington, J.; Liang, D.; Bowers, J.E. Integrated microwave photonic filter on a hybrid silicon platform. IEEE Trans. Microw. Theory Tech. 2010, 58, 3213–3219. [Google Scholar] [CrossRef]
  60. Pérez, D.; Fernández, J.; Baños, R.; Doménech, J.D.; Sánchez, A.M.; Cirera, J.M.; Mas, R.; Sánchez, J.; Durán, S.; Pardo, E.; et al. Thermal tuners on a Silicon Nitride platform. arXiv, 2016; arXiv:1604.02958. [Google Scholar]
  61. Soref, R. Mid-infrared photonics in silicon and germanium. Nat. Photonics 2010, 4, 495–497. [Google Scholar] [CrossRef]
  62. Soref, R. Toward silicon-based longwave integrated optoelectronics (LIO). Silicon Photonics III 2008, 6898. [Google Scholar] [CrossRef]
  63. Kitamura, R.; Pilon, L.; Jonasz, M. Optical constants of silica glass from extreme ultraviolet to far infrared at near room temperature. Appl. Opt. 2007, 46, 8118–8133. [Google Scholar] [CrossRef] [PubMed]
  64. Baets, R.; Subramanian, A.Z.; Clemmen, S.; Kuyken, B.; Bienstman, P.; Thomas, N.L.; Roelkens, G.; Thourhout, D.V.; Helin, P.; Severi, S. Silicon photonics: Silicon nitride versus silicon-on-insulator. In Proceedings of the Optical Fiber Communications Conference and Exhibition (OFC), 20–24 March 2016; pp. 1–3. [Google Scholar]
  65. Chavez Boggio, J.M.; Bodenmüller, D.; Fremberg, T.; Haynes, R.; Roth, M.M.; Eisermann, R.; Lisker, M.; Zimmermann, L.; Böhm, M. Dispersion engineered silicon nitride waveguides by geometrical and refractive-index optimization. J. Opt. Soc. Am. B 2014, 31, 2846–2857. [Google Scholar] [CrossRef]
  66. Lin, P.T.; Singh, V.; Cai, Y.; Kimerling, L.C.; Agarwal, A. Air-clad silicon pedestal structures for broadband mid-infrared microphotonics. Opt. Lett. 2013, 38, 1031–1033. [Google Scholar] [CrossRef] [PubMed]
  67. Chiles, J.; Khan, S.; Ma, J.; Fathpour, S. High-contrast, all-silicon waveguiding platform for ultra-broadband mid-infrared photonics. Appl. Phys. Lett. 2013, 103. [Google Scholar] [CrossRef]
  68. Tan, C.Z.; Arndt, J. Temperature dependence of refractive index of glassy SiO2 in the infrared wavelength range. J. Phys. Chem. Solids 2000, 61, 1315–1320. [Google Scholar] [CrossRef]
  69. Korthorst, T.; Stoffer, R.; Bakker, A. Photonic IC design software and process design kits. Adv. Opt. Technol. 2015, 4, 147–155. [Google Scholar] [CrossRef]
  70. Bartels, R.H.; Beatty, J.C.; Barsky, B.A. An Introduction to Splines for Use in Computer Graphics and Geometric Modelling; Morgan Kaufmann: San Francisco, CA, USA, 1998; Chapter 10; pp. 211–245. [Google Scholar]
Figure 1. Applications versus wavelength range, and the different material systems, III–V semiconductor and Silicon photonics, commonly employed in generic photonic integration (Reference [3], adapted with permission from OSA Publishing).
Figure 1. Applications versus wavelength range, and the different material systems, III–V semiconductor and Silicon photonics, commonly employed in generic photonic integration (Reference [3], adapted with permission from OSA Publishing).
Sensors 17 02088 g001
Figure 2. Silicon photonics platform cross-sections (top) and building blocks per foundry (bottom). Color code: Green = Available/Possible, Grey = Not Available/Possible. Abbreviations: SHWVG Shallow waveguide, DEWVG Deeply etched waveguide, DSWVG double-stripe waveguide, WVGX Waveguide crossing, Y-B Y-branch, DC Directional coupler, MMI Multi-Mode Interference coupler, SPGC Single Polarization Grating Coupler, PSGC Polarization Splitting GC, SSC Spot-Size Converter, EO-MOD Electro-Optic Modulator, TO-MOD Thermo-Optic Modulator, PN-MOD PN Junction Modulator, RR Ring Resonator, AWG Arrayed Waveguide Grating, DBR Distributed Bragg Reflector, SOA Semiconductor Optical Amplifier, PD Photo-Detector, BPD Balanced PD.
Figure 2. Silicon photonics platform cross-sections (top) and building blocks per foundry (bottom). Color code: Green = Available/Possible, Grey = Not Available/Possible. Abbreviations: SHWVG Shallow waveguide, DEWVG Deeply etched waveguide, DSWVG double-stripe waveguide, WVGX Waveguide crossing, Y-B Y-branch, DC Directional coupler, MMI Multi-Mode Interference coupler, SPGC Single Polarization Grating Coupler, PSGC Polarization Splitting GC, SSC Spot-Size Converter, EO-MOD Electro-Optic Modulator, TO-MOD Thermo-Optic Modulator, PN-MOD PN Junction Modulator, RR Ring Resonator, AWG Arrayed Waveguide Grating, DBR Distributed Bragg Reflector, SOA Semiconductor Optical Amplifier, PD Photo-Detector, BPD Balanced PD.
Sensors 17 02088 g002
Figure 3. Strip silicon nitride waveguide cut-off wavelength for TE 0 and TM 0 modes vs. waveguide width, and for different Si 3 N 4 film heights (80–1200 nm) (symbols: simulation points; lines: fit, continuous TE 0 , dashed TM 0 .
Figure 3. Strip silicon nitride waveguide cut-off wavelength for TE 0 and TM 0 modes vs. waveguide width, and for different Si 3 N 4 film heights (80–1200 nm) (symbols: simulation points; lines: fit, continuous TE 0 , dashed TM 0 .
Sensors 17 02088 g003
Figure 4. Optical frequency domain reflectometry setup. Abbreviations: Device under test (DUT), Reference (REF), polarization beam splitter (PBS).
Figure 4. Optical frequency domain reflectometry setup. Abbreviations: Device under test (DUT), Reference (REF), polarization beam splitter (PBS).
Sensors 17 02088 g004
Figure 5. Test structures devised for the characterization of the propagation loss, (a) spiral waveguides and (b) Mach-Zehnder Interferometers and (c) optical frequency domain reflectometry trace from a spiral waveguide, the light blue trace is the measurement, the gray shaded part corresponds to the range selected for a linear fit, which is shown in the figure as dashed red line.
Figure 5. Test structures devised for the characterization of the propagation loss, (a) spiral waveguides and (b) Mach-Zehnder Interferometers and (c) optical frequency domain reflectometry trace from a spiral waveguide, the light blue trace is the measurement, the gray shaded part corresponds to the range selected for a linear fit, which is shown in the figure as dashed red line.
Sensors 17 02088 g005
Figure 6. (a) Ring resonator test structure devised for the characterization of the group velocity dispersion and birefringence, (b) Optical Frequency Domain Reflectometry (OFDR) trace from the ring resonator, with TE and TM pulses labeled, (c) TE pulses sliced and collated, exhibiting broadening due to group velocity dispersion (GVD), and (d,e) their corresponding group delay.
Figure 6. (a) Ring resonator test structure devised for the characterization of the group velocity dispersion and birefringence, (b) Optical Frequency Domain Reflectometry (OFDR) trace from the ring resonator, with TE and TM pulses labeled, (c) TE pulses sliced and collated, exhibiting broadening due to group velocity dispersion (GVD), and (d,e) their corresponding group delay.
Sensors 17 02088 g006
Figure 7. Waveguide cross-section with heater on top, and adjacent waveguide at a distance of 5 μ m, with temperature gradient distribution overlaid. A dotted line is drawn from left to right crossing the core of the waveguides at half their height. Four different heater widths are shown (ad), for the same heater length ( L h = 270 μ m). The contours are simulated for the same heater power consumption (same amount of heat generated), showing the temperature gradient is larger for narrow heaters. Panel (e) shows simulation results of temperature required for a π phase shift vs. heater length. Abbreviations employed in the figure: w h heater width; Δ ϕ , optical phase change; Δ T c temperature change in the waveguide core; δ n e f f change in the effective index; Δ T π temperature change causing a phase change of π .
Figure 7. Waveguide cross-section with heater on top, and adjacent waveguide at a distance of 5 μ m, with temperature gradient distribution overlaid. A dotted line is drawn from left to right crossing the core of the waveguides at half their height. Four different heater widths are shown (ad), for the same heater length ( L h = 270 μ m). The contours are simulated for the same heater power consumption (same amount of heat generated), showing the temperature gradient is larger for narrow heaters. Panel (e) shows simulation results of temperature required for a π phase shift vs. heater length. Abbreviations employed in the figure: w h heater width; Δ ϕ , optical phase change; Δ T c temperature change in the waveguide core; δ n e f f change in the effective index; Δ T π temperature change causing a phase change of π .
Sensors 17 02088 g007
Figure 8. (a) Mach-Zehnder Interferometer (MZI) test structure for thermal-tuners, performance of tuners based on a metal layer stack of (b) 100 nm Au and 30 nm Cr and (c) 30 nm Au, 15 nm Ni, 10 nm Ti. Abbreviations: Δ λ is the wavelength shift in the MZI spectrum due to the actuation of the heater; P π w h is the π shift power for a given heater width, w h .
Figure 8. (a) Mach-Zehnder Interferometer (MZI) test structure for thermal-tuners, performance of tuners based on a metal layer stack of (b) 100 nm Au and 30 nm Cr and (c) 30 nm Au, 15 nm Ni, 10 nm Ti. Abbreviations: Δ λ is the wavelength shift in the MZI spectrum due to the actuation of the heater; P π w h is the π shift power for a given heater width, w h .
Sensors 17 02088 g008
Figure 9. (a) Sketch and dimensions for the inverted tapers using two deeply etched cross-sections, (b) regular tapers performance and inverted tapers performance (left and right respectively).
Figure 9. (a) Sketch and dimensions for the inverted tapers using two deeply etched cross-sections, (b) regular tapers performance and inverted tapers performance (left and right respectively).
Sensors 17 02088 g009
Figure 10. Impact on process steps on the linear propagation characteristics: OFDR measurement for spiral waveguides without (a) and with (b) oxidination of the Si 3 N 4 waveguide after etching; group index (c) and GVD (d) for the different processing steps implemented.
Figure 10. Impact on process steps on the linear propagation characteristics: OFDR measurement for spiral waveguides without (a) and with (b) oxidination of the Si 3 N 4 waveguide after etching; group index (c) and GVD (d) for the different processing steps implemented.
Sensors 17 02088 g010
Figure 11. Processes to turn the Si 3 N 4 platform into a membrane waveguide platform: through Si 3 N 4 under-etch of the SiO 2 (top); two wafer process using flip and bond (middle); two side etch process using a single wafer (bottom).
Figure 11. Processes to turn the Si 3 N 4 platform into a membrane waveguide platform: through Si 3 N 4 under-etch of the SiO 2 (top); two wafer process using flip and bond (middle); two side etch process using a single wafer (bottom).
Sensors 17 02088 g011
Table 1. State of the art of silicon nitride strip waveguide platforms.
Table 1. State of the art of silicon nitride strip waveguide platforms.
GroupRange λ (nm)SubstrateCoreCladdingConfinementWidth
(nm)
Height
(nm)
Cut-off
λ (nm) @
Width (nm)
Bend R
( μ m)
Straight
(dB/cm) @ λ (nm)
Gent/Baets [25]VIS532SiO 2 (h = 2.0 μ m)
HDP-CVD
SiN
PECVD
SiO 2
(h = 2.0 μ m)
Moderate300
400
500
180530 @ 532 7.00 @ 532
3.25 @ 532
2.25 @ 532
Aachen/Witzens [24]VIS660SiO 2 /1.45
(h = ?)
SiN/1.87
PECVD
SiO 2
(Water)
Moderate70010058035
(60)
0.51 @ 600
(0.71)
Gent/Baets [25]VIS+780SiO 2
(h = 2.4 μ m)
HDP-CVD
SiN
PECVD
1.89@780
SiO 2
(h = 2.0 μ m)
Moderate500
600
700
220900 @ 780 2.25 @ 780
1.50 @ 780
1.30 @ 780
Gent/Baets [25]VIS+900SiO 2
(h = 2.4 μ m)
HDP-CVD
SiN
PECVD
SiO 2
(h = 2.0 μ m)
Moderate600
700
800
2201100 @ 900 1.30 @ 900
0.90 @ 900
0.62 @ 900
IME/Lo [40]NIR1270–1580SiO 2
(h = 2.2 μ m)
Si 3 N 4
LPCVD
SiO 2 Moderate1000400 0.32 @ 1270
1.30 @ 1550
0.40 @ 1580
IME/Lo [40]NIR1270–1580SiO 2
(h = 3.32 μ m)
Si 3 N 4
PECVD
SiO 2 Moderate1000400 0.45 @ 1270
3.75 @ 1550
1.10 @ 1580
IME/Lo [40]NIR1270–1580SiO 2
(h = 3.32 μ m)
Si 3 N 4
PECVD
SiO 2 Moderate1000600 0.24 @ 1270
3.50 @ 1550
0.80 @ 1580
Trento/Pavesi [19]NIR1550SiO 2
(h = 2.5 μ m)
Multi-layerAir/SiO 2 Moderate 1.50 @ 1550 nm
Sandia/Sullivan [18]NIR1550SiO 2
(h = 5.0 μ m)
Si 3 N 4
LPCVD
SiO 2
(h = 4.0 μ m)
PECVD or HDP
Moderate800150 5000.11–1.45 @ 1550
Twente/Driesen [20]NIR1550SiO 2 /1.45
(h = ?)
SiON
PECVD
?Moderate2000–2500140–190 25–500.20 @ 633
0.20 @ 1550
IME/Lo [21]NIR1550SiO 2
(h = 5.0 μ m)
PECVD
SiN/2.03
(h = 400nm)
PECVD
SiO 2
(h = 2.0 μ m)
PECVD
Moderate700400 2.1 @ 1550
LioniX-UCSB [22,23]NIR1550SiO 2 /1.45
(h = 8.0 μ m)
Si 3 N 4
LPCVD
SiO 2 /1.45
(h = 7.5 μ m)
Low2800100 5000.09 @ 1550
LioniX-UCSB [22,23]NIR1550SiO 2 /1.45
(h = 8.0 μ m)
Si 3 N 4
LPCVD
SiO 2 /1.45
(h = 7.5 μ m)
Low280080 20000.02 @ 1550
Cornell/Lipson [29]NIR1550SiO 2
(h = ?)
Si 3 N 4
LPCVD
SiO 2
(250 nm + 2 μ m)
High1800910 1150.04 @ 1550
LioniX [38]NIR1550SiO 2
(h = 8.0 μ m)
Si 3 N 4
LPCVD
SiO 2
(h = 8.0 μ m)
High700-900800
1000
1200
0.37 @ 1550
0.45 @ 1550
1.37 @ 1550
Toronto-IME/Poon [36]NIR1270–1580SiO 2
(h = 2.2 μ m)
Si 3 N 4
LPCVD
SiO 2 Moderate900400 0.34 @ 1270
1.30 @ 1550
0.40 @ 1580
Toronto-IME/Poon [36]NIR1270–1580SiO 2
(h = 3.32 μ m)
SixNy
PECVD
SiO 2 Moderate1000600 0.24 @ 1270
3.50 @ 1550
0.80 @ 1580
CNM-VLCNIR1550SiO 2
(h = 2.5 μ m)
Si 3 N 4
LPCVD
SiO 2
(1.50 μ m)
Moderate1000300 1501.41 @ 1550
UCD/Yoo [31]NIR1550SiO 2
(h = ?)
Si 3 N 4
LPCVD
SiO 2
(h = 2.0 μ m)
Moderate2000200 500.30 @ 1550
LigenTec [39]NIR1550SiO 2
(0.13–3.5 μ m)
Thermal
Si 3 N 4
LPCVD
SiO 2 High2000800 119?
Chalmers/Torres [28]NIR1550SiO 2
(h = 2.0 μ m)
Si rich SiNx
LPCVD
SiO 2
(h = 2.0 μ m)
High1650700 201.00 @ 1550
Ghuagzhou/Shao [41]NIR1550–1600SiO 2
(h = 2.0 μ m)
SixNy
ICP-CVD
?Moderate1400600 400.79 @ 1575
Columbia/Lipson [27]NIR+2300–3500SiO 2
(h = 4.5 μ m)
Si 3 N 4
LPCVD
SiO 2
(500 nm + 2 μ m)
High270095025002300.60 @ 2600
MIT/Agarwal [37,42]NIR+2400–3700SiO 2 /1.45
(h = 4 μ m)
Si rich SiNx
LPCVD
SiO 2 High40002500 200 @ 2650
200 @ 3700
0.16 @ 2650
2.10 @ 3700
Table 2. Summarized comparison of silicon nitride strip waveguide platforms.
Table 2. Summarized comparison of silicon nitride strip waveguide platforms.
Confinementh (nm)RangeWavelength (nm)Loss (dB/cm)
Low80–100NIR15500.02–0.09
Moderate150–400NIR1270–16000.11–1.45
High400–1200NIR15500.04–1.37
High950–2500NIR+2600–37000.16–2.10
Moderate100–220VIS+532–9000.51–2.25
Table 3. Runs and wafers with different processing steps: substrate height, waveguide oxidation and cladding rapid thermal annealing (RTA).
Table 3. Runs and wafers with different processing steps: substrate height, waveguide oxidation and cladding rapid thermal annealing (RTA).
Run-WaferSubstrate Height ( μ m)Si 3 N 4 OxidationCladding RTA
R9510-W12.0NoNo
R9511-W22.5NoNo
R9510-W62.0YesNo
R9511-W32.5YesYes

Share and Cite

MDPI and ACS Style

Muñoz, P.; Micó, G.; Bru, L.A.; Pastor, D.; Pérez, D.; Doménech, J.D.; Fernández, J.; Baños, R.; Gargallo, B.; Alemany, R.; et al. Silicon Nitride Photonic Integration Platforms for Visible, Near-Infrared and Mid-Infrared Applications. Sensors 2017, 17, 2088. https://doi.org/10.3390/s17092088

AMA Style

Muñoz P, Micó G, Bru LA, Pastor D, Pérez D, Doménech JD, Fernández J, Baños R, Gargallo B, Alemany R, et al. Silicon Nitride Photonic Integration Platforms for Visible, Near-Infrared and Mid-Infrared Applications. Sensors. 2017; 17(9):2088. https://doi.org/10.3390/s17092088

Chicago/Turabian Style

Muñoz, Pascual, Gloria Micó, Luis A. Bru, Daniel Pastor, Daniel Pérez, José David Doménech, Juan Fernández, Rocío Baños, Bernardo Gargallo, Rubén Alemany, and et al. 2017. "Silicon Nitride Photonic Integration Platforms for Visible, Near-Infrared and Mid-Infrared Applications" Sensors 17, no. 9: 2088. https://doi.org/10.3390/s17092088

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop