Next Article in Journal
Signal Conditioning for the Kalman Filter: Application to Satellite Attitude Estimation with Magnetometer and Sun Sensors
Previous Article in Journal
Retraction: Jafer et al. Design of New Power Management Circuit for Light Energy Harvesting System. Sensors 2016, 16, 270
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

Wafer-Level Vacuum Packaging of Smart Sensors

Electronics and Applied Physics Division, RTI International, Research Triangle Park, NC 27709, USA
*
Author to whom correspondence should be addressed.
Sensors 2016, 16(11), 1819; https://doi.org/10.3390/s16111819
Submission received: 16 August 2016 / Revised: 16 October 2016 / Accepted: 18 October 2016 / Published: 31 October 2016
(This article belongs to the Section Physical Sensors)

Abstract

:
The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

1. Introduction

Miniaturized semiconductor sensors are at the heart of the next wave of interconnectivity—the transition from the “Internet of People” to the Internet of Things (IoT). To realize the full potential of IoT, sensor fabrication methods must continue to reduce the size, weight, power, and cost (SWaP-C) of the sensor component and system. The same trend needs to apply to sensor packaging, which currently accounts for as much as 80% of the overall cost and form factor. To make IoT a reality, the industry needs to adopt packaging methods that reduce SWaP-C.
Many of semiconductor sensors, especially those in the category of microelectromechanical systems (MEMS), require a vacuum environment to achieve the desired sensitivity. In this group are inertial sensors, thermal imagers, pressure sensors, and resonance devices. The vacuum environment is typically established soon after the sensor fabrication to protect the sensor during downstream assembly. To reduce SWaP-C, vacuum packaging has shifted from serial, die-level approaches to massively parallel, wafer-level vacuum packaging (WLVP). In a WLVP process, a wafer containing a semiconductor sensor die (i.e., device wafer) is bonded under vacuum to a passive lid wafer or to another device wafer containing part of the sensor architecture.
Smart sensors form when sensor elements are closely integrated with silicon (Si) integrated circuits (ICs). These readout ICs (ROICs) provide device bias, signal amplification, and other signal processing functions. Originally, WLVPs included only discrete sensor devices, and smart sensors were realized by connecting discrete MEMS chips to ROIC chips through the package or board substrate. This approach, depicted in Figure 1a, is commonly referred to as multi-chip integration and has many different embodiments in both two-dimensional (2D) and three-dimensional (3D) formats. Figure 1b shows the WLVP process flow for the case where the complementary metal-oxide semiconductor (CMOS) IC and sensor elements are interconnected directly, without the use of routing layers in the package or board, in a construct referred to as a system-on-chip (SoC).
The SoC approach is required for large-format pixelated devices such as micro-mirrors and imaging arrays. By monolithically integrating sensor arrays with CMOS ICs, one can achieve a pixel-level interconnect density. When compared to the discrete multi-chip packaging approach, SoC is typically more complex but leads to reduced parasitics, smaller footprints, higher interconnect densities, and lower package costs. Although SoC is still primarily implemented in pixelated devices, some mature, high-volume microphones, pressure sensors, and inertial sensors are shifting from multi-chip to SoC embodiments to reduce SWaP-C [2].
This fusion of MEMS and CMOS ICs at the chip level creates new challenges for the WLVP process. These challenges result from the requirement of thermal, chemical, and mechanical compatibility of the WLVP process with not only the sensing element but also the CMOS ICs. Several WLVP approaches have been developed to address these challenges. In this paper, we review progress in the development of WLVP, discussing techniques that have been reported for the deposition of seals, wafer-to-wafer bonding, and getter deposition and activation, and we compare the different approaches in the context of device requirements. Although a number of comprehensive papers have been published on the related topics of CMOS and MEMS integration [2,3,4,5,6], wafer-level packaging (WLP) of MEMS [5,6,7,8,9,10,11,12], and WLVP of MEMS [10,13,14,15,16], no review has addressed the WLVP of SoC smart sensors. In the cases where topical overlap exists, more recent and/or more detailed information is provided.
In the first section of the paper, we describe the generic WLVP process. In the second section, we discuss wafer-to-wafer bonding approaches, seal material sets, and deposition methods used for seal fabrication. In the third section, we address the deposition of getter material and corresponding activation methods. Our review ends with comments regarding future directions of the WLVP technology in smart sensor implementations.

2. Introduction to WLVP Process

SoC smart sensor fabrication begins with the CMOS and MEMS fabrication processes, which can be integrated monolithically or heterogeneously, as illustrated in Figure 2. In the monolithic approach (Figure 2a), MEMS and CMOS devices are fabricated in a serial or parallel fashion on the same substrate. The device wafer is bonded to a lid or cap wafer to create sealed packages. In the heterogeneous approach (Figure 2b), the CMOS and MEMS devices are created on separate wafers. Bonding of the wafers creates the vacuum package, or the vacuum package can be created after the integration of MEMS and CMOS. More information on various CMOS and MEMS SoC integration schemes can be found in recently published reviews [2,6]. Regardless of the integration approach, the device designers and fabricators have to overcome many of the same WLVP integration challenges.
The WLVP process typically incorporates the fabrication of cavities in one or both of the wafers; cavities provide an unoccupied space in which the MEMS device operates. They also increase the internal package volume, making it easier to achieve the desired ambient pressure. For reasons to be discussed in detail below, metal-based seals—the primary focus of this paper—are typically used in WLVP. The order in which seals and cavities are created is highly dependent on the integration approach. If the seal material is to be deposited after cavity creation, the resulting topography requires that the metal layer is patterned using shadow masks, conformal resist deposition techniques such as spray coating, or dry-film resist lamination. Traditional, less complex, spin-coated resists can be used if the seal metal is deposited prior to cavity creation. In this case, however, the seal metal must be compatible with the cavity etching process. Metal deposition methods discussed in Section 3 will impact these integration decisions.
As shown in Figure 2, thin film getters are typically incorporated into the package to reach and maintain the desired pressure. Once activated, these getters absorb non-noble atmospheric and other gases that diffuse out of electronic devices. The getter activation typically occurs at temperatures of at least 300 °C–400 °C [17]. To prevent premature activation, wafers receiving the getters should be outgassed prior to the getter deposition.
The release of MEMS devices is the last step before bonding. The release usually involves dry or wet etching of a sacrificial layer used in device fabrication. If getter is deposited on the MEMS device wafer, the release process must not adversely impact getter functionality. In addition, the release process needs to be compatible with the exposed seal materials. Any sealing surface that is sensitive to the release process should be incorporated on the non-MEMS wafer, if possible.
Once the deposition and patterning of the seal metal, the deposition of a getter, and the MEMS release are complete, the wafers are ready for bonding. Bonding should be carried out in a vacuum bonder capable of heating the wafers and applying mechanical force. The getter can either be activated before, during, or after bonding, depending on the bonding equipment and wafer temperature limitations. These options will be discussed in more detail in later sections.

3. Bonding Approaches

Many of the traditional, discrete MEMS bonding approaches (e.g., direct, anodic, and glass frit bonding) are incompatible with the WLVP of smart sensors.
Direct bonding, also known as fusion bonding, relies on the formation of covalent bonds between Si and silicon dioxide (SiO2) substrates. This type of bonding is typically performed at temperatures greater than 700 °C [18]. Through the use of surface treatments (e.g., wet cleans and exposure to ionized plasma), CMOS-compatible direct bonding has been demonstrated below 400 °C [19,20,21]. Direct bonding relies on weak physical forces that vanish at distances of just a few nanometers (nm), so the surfaces to be bonded have to be very smooth, with roughness values below 0.3–0.5 nm [22,23,24]. The sensitivity to the surface roughness, high bonding temperatures, and relatively high permeation rates of gases in SiO2 limit the applicability of this bonding approach to the WLVP of smart sensors.
Anodic bonding is a commonly used process to bond glass lid wafers to Si-based MEMS devices. The glass is a borosilicate glass containing a high concentration of alkali ions. When an electric field is created between Si and the glass, the ions migrate to the cathode, leaving behind a fixed negative charge [25,26]. The resulting electrostatic force brings the wafer surfaces into intimate contact. The wafers are then heated to 300 °C–450 °C, and the wafer surfaces react, forming strong Si-O-Si bonds [27]. Due to the high voltages of 1000 V–2000 V applied during the bonding process, as well as discharge effects, the risk of sodium (Na+) contamination, and stresses resulting from high temperature bonding of dissimilar substrates, it is very difficult to create a CMOS-compatible, anodic bonding process [28]. Furthermore, this approach applies to a limited set of substrate materials [26].
Another traditional approach commonly used for MEMS packaging gets its name from the intermediate bonding layer made of glass frit [29]. The frit is printed through a stencil on one of the substrates prior to bonding. Due to limited control over the frit deposition process and the flow of frit during bonding, one needs seal exclusion areas of hundreds of micrometers (μm) in width [30]. These large areas take up valuable wafer real estate, reducing the number of die per wafer and increasing overall device fabrication costs. In addition, significant outgassing from glass frit occurs during the bonding process, making it a poor candidate for devices that require low package pressures.
Table 1 summarizes challenges associated with the bonding processes described above, and compares them with metal-based bonding approaches that have been developed to address shortcomings of traditional bonding. The metal-based approaches, described in detail below, leverage mature deposition and patterning techniques developed for ICs and MEMS. These mature fabrication techniques combined with the relatively low permeability of gases in metals result in hermetic sealing processes with as little as a few micrometers of the seal material. These small seals reduce chip real-estate required for bonding and therefore reduce the cost of the component. The fabrication of the seals prior to bonding allows for outgassing of the seal material, reducing the package pressure. Metal-based bonding approaches can be executed at temperatures compatible with CMOS circuits and integrated with various substrate materials and topographies, making them ideal for smart sensor packaging.
Metal-based hermetic bonding approaches fall largely into three categories: eutectic, solid-liquid interdiffusion (SLID), and metal-to-metal. Table 2 lists the primary advantages and disadvantages of each approach, and Section 3.1, Section 3.2 and Section 3.3 discuss each one in detail.

3.1. Eutectic Bonding

This bonding approach is defined by the presence of an intermediate bonding layer of a metallic alloy at eutectic composition. During bonding, once the eutectic melting temperature is exceeded, the alloy undergoes a phase transformation from solid to liquid. Once the liquid layer forms, the intermediate bonding layer collapses, accommodating surface roughness, particles, and other sources of non-uniformity between the two wafers. The liquid flows to all wettable surfaces, creating a continuous sealing layer that solidifies during cooling.
Table 3 outlines the most common eutectic alloys used for hermetic and/or vacuum packaging of IC, MEMS, and smart sensing devices, and their corresponding eutectic temperatures. For WLVP of smart sensors, alloys with eutectic temperatures at or below 400 °C are desired. This allows the bonding temperatures, which are typically 20 °C–50 °C above the eutectic temperature, to remain below the CMOS thermal budget limitations of approximately 450 °C for a period of minutes. For devices that require low package pressures for operation, it is also advantageous to outgas the wafers above the bonding temperature prior to bonding, which further limits the bonding temperature.

3.1.1. Gold-Tin (Au-Sn) Eutectic Bonding

Due to a number of inherent advantages, Au0.8Sn0.2 eutectic bonding has been heavily researched for WLVP packaging and demonstrated using surrogate proxy wafers [31,32,33,34,35,36], RF MEMS switches [37,38], MEMS resonators [39], and infrared imaging smart sensors [40]. In this approach, Au0.8Sn0.2 is deposited on either the lid or device wafer, and a wettable layer, typically Au, is deposited on the other wafer. Since Au0.8Sn0.2 bonding layers do not oxidize, one does not need to clean the surface with flux or other chemicals that might be incompatible with released MEMS devices. The inertness of Au also makes the Au0.8Sn0.2 eutectic an ideal solution for devices used in corrosive environments (e.g., in medical applications) [41]. In addition, Au0.8Sn0.2 is a relatively hard, high-yield-strength solder, resistant to thermomechanical fatigue such as creep.
The primary challenges associated with Au0.8Sn0.2 eutectic bonding are rooted in the steep liquidus lines, particularly on the Au side of the eutectic composition of interest. At 81% and 75% Au by weight, the melting temperature is equal to 340 °C and 333 °C, respectively [34]. To realize the melting temperature of 280 °C, the alloy composition must be precisely controlled, which creates process control challenges during deposition and bonding.
Table 4 lists techniques that are used for Au0.8Sn0.2 deposition. These include solder ball jetting, alloy electroplating, and multilayer Au/Sn structure deposition. The ball jetting process involves the use of a preform at the desired alloy composition. In this approach, illustrated in Figure 3, bulk Au0.8Sn0.2 solid is melted, and solder spheres are formed and ejected on the under-seal metal (USM) layer at a rate of several balls per second [42]. During bonding, which happens at temperatures greater than the eutectic temperature, the spheres melt and wet the USM layer, forming a continuous, hermetic seal. The thickness of the bond is determined by a combination of the ball diameter, bonding force, pad geometry, and wetting characteristics of the USM. These variables must be carefully balanced to ensure uniform seal formation across each die and wafer, without excess squeeze-out of the material that may impact active device regions. The challenges associated with controlling the seal geometry make this bonding approach unattractive for solutions that require narrow seal widths. This approach may also be cost-prohibitive for high-volume markets due the serial nature of the ball placement and the relatively high material cost.
Electroplating of the Au0.8Sn0.2 eutectic alloy through a resist pattern is an attractive deposition method due to the composition and geometric control that it affords. However, the approach has significant challenges. Due to inherent non-uniformities of the electric field in any plating cell, electroplating deposition rates vary across the wafer. These field variations, in combination with relatively large differences in electrode potentials between Au and Sn, make it difficult to control the relative amounts of the metals in the deposit. Although a number of groups have worked on developing electroplating solutions [44,45,46,47,48], information on compositional uniformity is very limited. The limited data suggest that the composition uniformity range is as much as 6.6% from wafer to wafer [47]. This range results in melting temperature differences as high as 50 °C, making it difficult to create a reproducible bonding process.
The third approach listed in Table 4 involves the deposition of alternating layers of pure Au and Sn [49,50]. Once the layers are deposited, the stack is annealed to create the Au0.8Sn0.2 eutectic material. This deposition approach has been demonstrated for both the IC interconnect [41,51,52] and hermetic MEMS packaging [31,32,33,37,38]. Figure 4 depicts an implementation of this approach in the fabrication of a WLVP for an RF resonator device with vertical feedthroughs [35].
Deposition of the alternate layers is most commonly done by evaporation or electroplating. If Au is deposited on top of the layered structure, using the evaporation technique reduces the possibility of Sn oxide formation, which can result in voids in the subsequent bond. The evaporation approach also provides more control over the thicknesses of the alternating layers and therefore the composition of the alloy. When bonding layers thicker than 1 to 2 μm are needed, electroplating becomes more cost-effective.
Once the alternating layers are deposited, either by evaporation or electroplating, annealing is used to promote the homogeneous mixing and formation of Au0.8Sn0.2. Annealing has been demonstrated at different dwell temperatures, at different ramp rates, and in different ambients [41,52]. The anneal process can be carried out as a separate step or as part of the bonding procedure. During bonding, the Au0.8Sn0.2 material is brought into contact with the wettable USM layer on the mating wafer and heated to 10 °C–50 °C above the melting temperature. In general, the USM acts as a barrier to prevent Sn and Au from diffusing into Si. The USM also acts as an adhesion and/or wetting layer. When electroplating is used for the Au-Sn deposition, a seed layer is also needed. Commonly used Au seed layers and nickel (Ni) diffusion barriers can react with the Au-Sn material, shifting the alloy composition; their impact on the stoichiometry must therefore be considered.

3.1.2. Au-Si Eutectic Bonding

Au0.82Si0.18 eutectic bonding, originally developed and adopted as a die attach process [53], has more recently been demonstrated for transfer printing of light emitting diodes (LEDs) [54] and as a wafer bonding method for absolute pressure sensors [55], a MEMS Pirani vacuum gauge with CMOS elements [56,57,58], and other MEMS and smart sensors using surrogate wafers [56,57,58,59,60,61,62,63,64,65,66]. In this approach, Au is deposited by evaporation, sputtering, or electroplating. The source of Si is a deposited Si layer or the Si substrate itself. For smart sensor applications, diffusion barriers are included to prevent Au diffusion into the CMOS device layers. During bonding, the wafers are heated to around 400 °C, and the Au and Si undergo solid-state diffusion until the eutectic composition is reached. Since solid-state diffusion is required, the Au and Si layers are typically formed on the same wafer, ensuring intimate contact between the layers. As shown in Figure 5, once the Au0.82Si0.18 liquid forms, in this case, on the cap wafer, bonding occurs between the liquid on the cap wafer and the mating Si or Au surfaces on the device wafer. Advantages of this approach include a readily available materials set, ease of deposition, compatibility with MEMS release and cavity etch processes, and the lack of a native oxide on the Au surface.
In addition to the diffusion barrier on the CMOS wafer, an additional diffusion barrier is needed between the Au and Si layers. This barrier prevents premature Si migration into Au, which can occur at temperatures as low as 50 °C [67,68,69]. The premature mixing can cause layers of undesirable SiO2 or Si-rich alloys to form on the Au-Sn bonding surface prior to bonding. Early research reports showed the use of titanium (Ti) and/or chromium (Cr) diffusion barriers that were effective in preventing Au-Si solid-state diffusion until temperatures exceeded 500 °C [53]. Only when the temperature exceeds 500 °C, the barrier fails and the desired Au0.82Si0.18 eutectic forms, enabling the bonding. This temperature is well above CMOS thermal ceilings, so the seal structure would not be compatible with the WLVP of smart sensors. More recently, bonding at 400 °C was demonstrated with the lid metallization stack that included Si; a thin, native SiO2 layer; 200 nm of Cr; 500 nm of Au; and microns of plated Au. The combination of SiO2 and the Cr diffusion barrier was effective only up to 350 °C for 10 min [56] and was therefore compatible with bonding at temperatures within the CMOS thermal budget. The premature mixing can also be prevented by placing the Au and Si on separate wafers prior to bonding [54,70]. In this embodiment, wafer planarity and parallelism must be well controlled to ensure that all the bonding surfaces across the wafer are in intimate contact for the eutectic to form.

3.1.3. Aluminum-Germanium (Al-Ge) Eutectic Bonding

The Al0.72Ge0.28 eutectic bonding approach has been demonstrated for 3D ICs [71], LEDs [72], passive test vehicles [73,74,75,76,77,78,79,80], and smart gyroscopes [5,81,82]. Similar to the Au-Si approach, this sealing method relies on solid-state diffusion to form the eutectic solution. Layers of Al and Ge are deposited on one or both wafers, brought into contact with one another, and heated above the eutectic temperature of 423 °C.
To create the desired eutectic material, Al and Ge are deposited at a ratio of roughly 1.0 μm of Al to 0.59 μm of Ge [73]. The deposition methods include evaporation and sputtering, and are followed by typical photoresist or shadow-mask patterning techniques. The native Ge oxide is easily removed with a dilute hydrofluoric (HF) acid solution. The native Al oxide is more difficult to remove effectively. In many cases, Ge is deposited on top of the Al without breaking vacuum to prevent the Al oxide formation. Placing the Al-Ge junction on the same wafer ensures intimate contact between the two metals, facilitating the solid-state diffusion and eutectic formation during heating. To prevent mixing of the sealing materials and Si, SiO2 or tantalum nitride (TaN) can be used as diffusion barriers. Figure 6 shows the process flow, in which the MEMS and CMOS elements are fabricated and bonded in the same foundry [81]. In this heterogeneous SoC approach, the wafer-to-wafer bond with the Al0.72Ge0.28 seal forms the vacuum package and creates interconnects between CMOS and MEMS circuits to create a MEMS gyroscope [82]. The process is now offered by multiple CMOS foundries [83]. The proximity of the bonding temperature to CMOS thermal limits is a primary disadvantage of this approach. Solid-state bonding below the eutectic temperature has been shown to reduce bonding temperatures but at the expense of significant increases in bonding time and force [84].

3.2. SLID Bonding

SLID bonding—also known as transient liquid-phase (TLP) bonding, off-eutectic bonding, and isothermal bonding—involves the use of a metal with a low melting temperature (ML) sandwiched between a metal with a higher melting temperature (MH), as shown in Figure 7. The melting temperatures of these metals are denoted as TH and TL, respectively. When the applied temperature exceeds TL, ML melts and wets to MH. Although solid-state diffusion occurs below the melting temperature, once ML melts, diffusion rates between ML and MH increase by as much as three orders of magnitude [85]. The solid-liquid diffusion leads to the formation of solid solutions and/or intermetallic compounds (IMCs) made up of MH and ML (since the majority of compounds discussed in this section are intermetallic compounds [IMCs], we will generally refer to all alloys formed in the solid-liquid interdiffusion [SLID] processes as IMCs). The melting temperatures of these IMCs are significantly above TL. When bonding is complete, all of the ML should ideally be converted into IMCs, with layers of MH remaining on either side. Excess MH ensures that no reaction occurs between the USM layer and the seal. Excess MH absorbs mechanical stress, and ensures that all of ML can be converted, creating a thermodynamically stable seal [86].
The deposition of pure elemental phases required for SLID bonding is typically much less complex than alloy deposition required for eutectic bonding. In addition, due to the IMC layers high remelting temperature, this approach is well suited for smart sensors that require downstream elevated-temperature processes such as post-bond getter activation and/or assembly. It is also an ideal bonding method for sensor fabrication schemes that require subsequent wafer or chip stacking, as it allows the same bonding temperatures and materials to be used repeatedly. Three different SLID material sets have been successfully demonstrated for the packaging of IC, MEMS, and smart sensing devices. These include copper (Cu)-Sn, Au-Sn, and Au-indium (In).

3.2.1. Cu-Sn SLID Bonding

The Cu-Sn material set is the best understood of the SLID sets. This approach has been heavily researched for fine pitch and 3D IC interconnects [86,87,88,89,90,91,92], but has more recently been demonstrated for WLVP MEMS devices [93,94,95,96,97,98,99], smart sensor surrogates [86,100,101,102], and the smart sensor microbolometer devices seen in Figure 8 [103,104]. Figure 9 shows examples of this approach used for both IC interconnects and the WLVP of an infrared imaging sensor.
Cu-Sn SLID bonding involves the use of Cu as MH and Sn as ML. Typical bonding temperatures range from 250 °C to 300 °C. USM deposition and material selection are simplified due to the layers of thick Cu that remain following the bonding; these layers prevent mixing between the USM and IMC seal material. Deposition of the elemental Cu and Sn by electroplating is a well-understood, relatively simple, and cost-effective process.
Although native oxides grow on Sn and Cu surfaces, they can be treated without the use of flux. Various dilute acids can be used to remove Cu oxide prior to bonding. The native Sn oxide is thin and can be easily broken apart with a few MPa of mechanical pressure and absorbed by the bond [105]. Forming gas can also be used to treat the Sn oxide [106]. To eliminate the need for any prebonding surface treatments, one can deposit a thin layer of Sn on the bare Cu during the deposition phase, followed by an anneal to form an oxide-resistant IMC layer [107]. Alternatively, a thicker Sn layer can be used to form a symmetric Cu-Sn-to-Cu-Sn structure [108].
As shown in Figure 9 and the phase diagram in Figure 10, two Cu-Sn IMCs, Cu3Sn and Cu6Sn5, form during bonding at the temperatures of interest. The Cu6Sn5 layer dominates in the early phases of heating. As shown in the scanning electron microscope (SEM) image in Figure 10, the diffusion front of the Cu6Sn5 IMC tends to be scalloped, which can lead to voiding due to the localized consumption of elemental Sn prior to the application of mechanical force [96]. To prevent voiding, enough elemental Sn must be deposited to account for the growth of scalloped Cu6Sn5 IMC during any prebond thermal treatments (e.g., outgassing, getter activation, or heat ramp before the force application) [109].
Although free Sn is needed to prevent voiding in the bond, excess free Sn can cause squeeze-out of Sn outside of the bonding areas. In addition, as the Sn thickness increases, more thermal energy (i.e., longer bond times and/or higher bond temperatures) is needed to form a thermodynamically stable Cu/Cu3Sn/Cu seal. Therefore, layer thicknesses, prebond thermal treatments, and bonding profiles must be carefully balanced to generate void-free seals with the desired Cu/Cu3Sn/Cu structure. The kinetics of these IMC formations have been studied extensively; the studies produced a model that can be used to optimize layer thicknesses, bonding profiles, and anneal times [110,111,112]. Figure 11 includes an output example from this model.
In addition to the potential void formation from the scalloped shape of the Cu6Sn5 IMC, voids from other sources are common in the Cu-Sn IMC and interfacial layers. These voids are often ascribed to the Kirkendall effect [113,114].
As shown in Figure 12, Kirkendall voids form due to the imbalance of fluxes J of migrating atoms. In the Cu-Sn SLID example, Cu diffuses into the IMC layers at higher rates than Sn, leading to vacancies that coalesce into voids under the right conditions. Recent work has shown that voiding is strongly tied to the Cu deposition method; electroplated Cu films develop significantly more and larger voids than other high-purity films [115,116]. Furthermore, sulfur content in the electroplated deposit has been shown to increase the number of voids and their propensity to coalesce into a continuous layer at the Cu/Cu3Sn interface [117,118]. This correlation to the electroplating chemistry may explain the wide range of reported reliability characteristics of the Cu-Sn bonds (results of tests such as drop, electromigration, and contact resistance).
The IMCs formed during the SLID bonding have some well-documented advantages over traditional solder bonding: higher shear strength, tensile strength, electromigration resistance, and creep resistance values [120,121,122,123]. However, as shown in Table 5, Cu3Sn and Cu6Sn5 are stiff (high Young’s modulus) materials. As such, they absorb smaller portions of mechanical stress resulting from the mismatch of the coefficient of thermal expansion (CTE) and/or volumetric shrinkage of the seal material, than common solder materials. (When Cu-Sn bonding is used to create interconnects between IC die, underfilling of the bonding structure with low modulus polymers provides a stress buffering mechanism [124,125].) Taklo et al modeled the effect of mechanical stress in Cu-Sn SLID seals on adjacent CMOS layers and concluded that active devices could be impacted by the seals [101]. It should be noted that the authors used the worst-case values for mechanical properties of Cu-Sn IMCs, hence the results of the model represent a worst-case scenario of the effect of the seals. The authors suggest that thicker layers of the softer elemental Cu could be used to increase the mechanical compliance and reduce the stress imparted on the CMOS layers.

3.2.2. Au-Sn SLID Bonding

Au-Sn SLID has recently been recently studied for the packaging of high-temperature, wide-bandgap semiconductor devices for space and automotive applications [128,129,130,131,132]. Due to the high operating temperatures of these devices, CTE mismatch can create significant stresses in the device and interconnect layers. As shown in Table 6, the high melting temperature and relative low stiffness of the Au-Sn IMCs make Au-Sn SLID suitable for this application. The use of Au-Sn SLID bonding has also been demonstrated for the WLVP of MEMS and smart sensors using passive test vehicles [34,93,94,99,133].
In this approach, Au and Sn act as MH and ML, respectively. These layers are most commonly deposited via electroplating or evaporation. Au0.8Sn0.2 eutectic preforms can also be used in place of Sn. However, for the WLVP of smart sensors, electroplating through photolithographically created templates is preferred due to the need for small feature size and low cost. As in the eutectic Au-Sn approach, the Au layers do not oxidize, enabling fluxless bonding. Unlike in the Au0.8Sn0.2 eutectic approach, strict composition control is not required.
The primary challenges associated with the Au-Sn SLID bonding include high diffusivities of the Au-Sn couple and the multitude of possible IMC phases. Diffusivities of atoms in the Au-Sn structure have been calculated to be four orders of magnitude larger than their Cu-Sn equivalents [99,119,134]. During thermal treatments (e.g., outgassing, MEMS release, heat ramp to bonding temperature), the high diffusivities cause the relatively thin elemental Sn layers to fully convert to Au-Sn IMC layers prior to bonding. Due to this premature alloying of Sn, the liquid layer is typically made up of Au0.8Sn0.2 eutectic instead of elemental Sn; therefore, bonding temperatures need to be 280 °C–350 °C to ensure that a liquid layer is formed as the alloy composition passes through the eutectic composition. Several publications describe the kinetics of this process [134,135,136,137].
A comparison of Figure 10 and Figure 13 shows that the Au-Sn phase diagram is more complex than the Cu-Sn phase diagram at the temperatures of interest. In Cu-Sn SLID, only two IMC layers form, whereas six Au-Sn IMC layers are possible in the Au-Sn SLID process. Interconnect failures have been attributed to the formation of the Sn-rich AuSn4 IMC [138,139], so the final IMC layers should be made up of more desirable Au-rich IMC layers. The Au-rich seal typically consists of a combination of ζ’ (Au5Sn), ζ (nonstoichiometric AuSn), and/or β (Au10Sn) IMC layers. The exact seal structure is dependent on a number of factors including initial Au and Sn thicknesses, thermal exposures prior to bonding, and bonding parameters such as temperature, time, and ramp and cooling rates. Due to the large volumes of Au required to form the β phase, the Au-rich IMC layers (i.e., ζ’ [Au5Sn] and ζ [nonstoichiometric AuSn]), are more common, but no standard seal structure has been established.
One can use Equation (1) to calculate the ratio of thicknesses of A and B metals required to form an AxBY IMC:
h Α h Β = V Α V Β   =   x   ×   Μ Α ×   ρ Β y   ×   Μ Β ×   ρ Α
where hA and hB denote the layer thickness of pure A and B metals, respectively; VA and VB their respective volumes; MA and MB their respective molar masses; and ρA and ρB their respective densities. When this formula is applied to a seal consisting of Au5Sn sandwiched between elemental Au layers, the Au thickness must be at least three and a half times the Sn thickness. If the β (Au10Sn) phase dominates the IMC formation, the Au-to-Sn ratio must be greater than seven. By comparison, Cu3Sn is the most Cu-rich IMC at the temperatures of interest. Assuming the final bond consists of Cu/Cu3Sn/Cu, a ratio of only 1.3 to 1.0 is required to form this structure. To ensure that alloys on the Sn side of the Au0.8Sn0.2 eutectic composition are present prior to bonding, and enough collapse occurs to achieve hermetic seals, thicker Sn layers are preferable. However, as Sn thickness increases (assuming Au5Sn is the dominate IMC), the Au thickness must increase roughly fourfold with respect to the Sn thickness increase to ensure that elemental Au remains in the bond. This results in cost-prohibitive thicknesses of Au required to generate the preferred Au-AuSn IMC-Au structure. Almost all research reported to date has produced final bond structures with no pure Au remaining in the bond. Since no elemental Au remains, the USM selection issues discussed with the Au0.8Sn0.2 eutectic process apply here as well. Namely, the USM layers must act as diffusion barriers and be wettable by Au-Sn. Often, these wettable barriers interact with the Au-Sn IMCs, further complicating the final seal structure.
If no unreacted Au remains, the Au-Sn IMCs must be able to absorb stresses that develop in the package. The Au-Sn IMCs are not as stiff as the Cu-Sn equivalents (see Table 5 and Table 6), and are therefore believed to be better stress absorbers. To the authors’ knowledge, no research has been reported on the potential impact on underlying CMOS layers for Au-Sn SLID bonding.

3.2.3. Au-In SLID Bonding

Due to the low melting temperature of In (156 °C), the Au-In SLID bonding approach is attractive for temperature-sensitive devices. This approach has been demonstrated for the packaging of high-temperature, wide-bandgap devices [129,140,141,142], image sensors [143], and in-chip stacking applications [144,145,146]. More recently, the Au-In approach has also been demonstrated for WLVP using passive substrates [147,148].
In this approach, Au and In act as MH and ML, respectively. Bonding temperatures range from 165 °C to 200 °C, although solid-state diffusion bonding has been demonstrated at 150 °C [149]. In can be deposited via evaporation, plating, or preforms. However, In oxide is difficult to remove, so the overwhelming majority of Au-In SLID research makes use of an in situ, evaporated Au layer on top of In to prevent oxidation [150]. After deposition, Au and In diffuse, creating an oxide-resistant AuIn2 capping layer.
Solid-state diffusion of the Au-In diffusion couple has been shown to occur at temperatures as low as −50 °C with significant diffusion rates at room temperature [151]. This observation is supported by reported activation energies of roughly 0.23 electron volts (eVs), compared to two to three times larger values for Cu-Sn and Au-Sn, respectively [145,151]. To prevent this premature interdiffusion, In and Au layers are commonly deposited on separate substrates. Another approach involves the use of an In-to-Au thickness ratio greater than 3.1 to ensure that some elemental In remains after the interdiffusion is complete [112]. A thin layer of Ti has also been shown to prevent interdiffusion of the Au and In at low temperatures and allow interdiffusion at the bonding temperatures [145].
The Au-In phase diagram shown in Figure 14 is complex; seven different IMC phases exist at the temperatures of interest. When Equation (1) is applied to this alloy, Au-to-In thickness ratios ranging from 1.5 to 7.1 are required to form Au-rich IMC layers (e.g., γ′, ɛ, β1, ζ, and α1). Similar to the Au-Sn approach, these Au-rich IMCs, combined with relatively thick In layers, result in a seal structure that lacks elemental Au. Although no standard process has been developed, the majority of research has resulted in a final seal consisting of AuIn and AuIn2 layers. Initial research suggests that these are relatively soft, elastic, low-modulus IMCs [152,153]. No elemental Au remains, so the adjacent USM materials must be compatible with the Au-In IMCs.

3.3. Metal-to-Metal Thermocompression Bonding

Metal-to-metal bonding, also known as diffusion bonding, relies on solid-state diffusion between metals at elevated temperature and pressure. Typically, the same metal is used on each wafer, and a homogenous metal seal is formed by self-diffusion (e.g., Al-Al, Cu-Cu, and Au-Au). Like the other proposed sealing methods, the metal-to-metal approach has also been researched for IC interconnects [92,154] and WLVP on proxy surrogates [155], MEMS accelerometers [156], and infrared imagers [157]. Embodiments that simultaneously create IC interconnects and hermetic seals have also been demonstratedrff on surrogate wafers [158,159,160,161,162]. Figure 15 depicts examples of metal-to-metal bonds used for both interconnects and hermetic seals.
Compared to the eutectic and SLID sealing methods that involve phase transformations and produce various alloys, this approach is less complex and more flexible with respect to upstream and downstream WLVP processes. No liquid layer forms during bonding, so wetting is not a concern, simplifying USM material selection. Deposition of the seal material is also relatively straightforward and typically employs evaporation, electroplating, and/or sputtering techniques.
Typical bonding variables include mechanical pressure, and temperature ramps and dwells. Mechanical scrubbing and/or ultrasonic vibration are sometimes used to assist the self-diffusion. Unlike the eutectic and SLID approaches, which rely on interdiffusion, the metal-to-metal seal material is not typically impacted by prebond thermal treatments (e.g., MEMS release and outgas bakes). The lack of interdiffusion also eliminates the risk of Kirkendall voiding.
Due to the lack of a liquid layer, this approach is more sensitive to nonplanarity and oxide formation. To ensure that the sealing surfaces across the entire wafer are in contact, a higher degree of planarity is required. Chemical mechanical planarization (CMP) and/or uniform deposition methods (e.g., sputtering and evaporation) are often used in conjunction with thermocompression bonding to ensure uniform contact of the sealing surfaces. In addition, soft metals with relatively low yield strengths and low melting temperatures should be chosen. This allows the metals to plastically deform at CMOS- and MEMS-compatible temperatures and pressures. This deformation can compensate for small amounts of nonplanarity between the sealing surfaces. The metals most commonly evaluated for this approach are Al-Al, Cu-Cu, and Au-Au.
In addition to planarity requirements, another concern for metal-to-metal sealing of smart sensors is the presence of the native oxide. In the eutectic and SLID approaches, the native oxides can be broken up and absorbed by the collapse of an underlying liquid layer. However, in thermocompression bonding, the oxides must be more thoroughly removed prior to bonding. One of the primary advantages of the Au-Au solution is the lack of a native oxide. Although Cu does have a native oxide, it is easily removed in dilute acids applied in situ or ex situ of the bonding process. Self-assembled monolayer (SAM) technology that prevents oxide growth has also been demonstrated for Cu-Cu thermocompression bonding [161,163,164,165]. Al is a soft metal commonly used as a contact pad material for CMOS processing and is therefore an attractive choice as well. However, the native Al oxide is difficult to remove, requiring higher bonding temperatures and pressures [156]. In addition, Al’s relatively high CTE can lead to more stress in Si packages due to higher levels of the CTE mismatch.
Bonding temperatures are a function of the metal selection, pressure, planarity, and surface cleanliness. Bonding pressures are usually between 0.2 and 10.0 MPa with bonding times varying from 30 to 120 min. Postbond anneals are often used to continue the solid-state diffusion process, ensuring a hermetic, mechanically strong seal. As discussed in previous sections, the added bonding and anneal time may increase gas pressure inside the package and must be balanced accordingly. Metals with relatively high self-diffusivities and low activation energies should be chosen to minimize the bonding time and temperature. Table 7 lists properties of the seal materials and the typical bonding temperatures.

4. Thin Film Getters

Over time, the pressure inside the vacuum package will rise due to a combination of leakage through the enclosure materials, permeation, and outgassing of the inner surfaces. Due to the small cavity volumes, small changes in gas volume cause large changes in package pressure. MIL-STD-750E and MIL-STD-883H standards define hermetic packages as those with leak rates less than 1 × 10−6 to 5 × 10−10 mL·atm/s [168,169].
When these leak rates are applied to modern smart sensors, which typically have package volumes in the nanoliter (1 × 10−6 mL) range, the packages could leak up to the atmosphere in as little as minutes (Figure 16). Getters offset the impact of these gas sources.
Getters rely on two mechanisms for sorption, namely chemisorption and physisorption. The majority of gas species (carbon monoxide [CO], carbon dioxide [CO2], oxygen [O2], nitrogen [N2]) react via dissociative chemisorption that leads to the formation of an oxide, carbide, or nitride. When the getter temperature is high enough, the resulting compounds diffuse into the getter bulk, leaving behind a fresh getter surface. This cycle continues until the getter is saturated with the species [17]. In contrast to the gases listed above, hydrogen (H2) is physisorbed—due to its low disassociation energy and high rate of diffusion—through the metal lattice. The physisorbed H2 forms a solid solution with the getter lattice, characterized by a specific equilibrium pressure. Depending on the H2 concentration and ambient temperature, H2 can move in or out of the getter lattice.
Thin film getters are typically deposited through evaporation or sputtering. As shown in Figure 17, in the case of smart sensors, shadow masks are commonly used to pattern the material. The microstructure of the getter is a key parameter that determines its gas sorption capacity. Increased surface area leads to increased pumping speed; therefore, porous materials are usually preferred. However, porosity must not result in mechanical fragility as semiconductor sensors do not typically tolerate particles. Grain size is another important parameter. Many of chemisorbed gases diffuse along grain boundaries, so smaller grains are often preferred. Deposition conditions (e.g., pressure, temperature, and power) can be used to tailor the microstructure of the thin film getter. Getters may be fabricated with an oxide, carbide, and/or nitride capping layer to passivate the getter until activation. During activation, the getter is heated, and the passivation layer diffuses into the bulk, beginning the sorption cycle described above.
Getter activation temperatures for smart sensors should remain below 450 °C for CMOS compatibility. Commercially available solutions applicable to smart sensors are offered by both SAES Getters and NanoGetters, with activation temperatures between 300 °C and 400 °C. In most cases, the getter material is activated during the sealing process. Getter reactivation after sealing is commonly implemented for additional capacity. These reactivation processes typically consist of relatively low-temperature (150 °C–300 °C), long-time (hours) anneals.
If the smart sensor contains components incompatible with getter activation temperatures, a separate getter activation step can be completed prior to sealing. In this case, the getter is deposited on the lid wafer, which does not contain the temperature-sensitive components. The lid wafer is then independently heated to the activation temperature and subsequently cooled to the bonding temperature. The getter pumps gases in the vacuum chamber between activation and sealing, so the chamber pressure should be minimized to prevent premature saturation of the getter surface prior to sealing. Getters with low activation temperatures (e.g., as low as 200 °C) have been developed for temperature-sensitive applications [170].
Getter operation is often quantified by the pumping speed and the sorption capacity for various gas species. As mentioned previously, the capacity is a function of the getter stoichiometry and volume. The pumping speed is limited by the rate at which the gas molecules stick to the surface and/or diffuse into the bulk. In the typical scheme, in which sealing and activation occur in parallel, getters should have high affinities and diffusivities for the gases of interest. If activation occurs prior to bonding, getter materials with lower sticking probabilities may be desired to prevent premature surface saturation by gases in the vacuum bonding chamber.
Since getter pumping speeds and capacities are a function of the various diffusivities and sticking coefficients, it is important to understand what gas species are present in the package. In addition, some gases will not react with the getter and must be removed through other means. Residual gas analysis (RGA) of the bonding chamber, and testing of outgassing from bulk materials can provide some insight into what gases are present in the package. To quantify the gas constituents inside the small smart sensor packages, Oneida Research Services (ORS, Whitesboro, NY, USA) has developed a high-resolution internal vapor analysis (HR-IVA) capable of analyzing package volumes as small as 1 × 10−6 cc [171]. The test involves puncturing the package in a vacuum chamber. The released gas is analyzed by a time-of-flight mass spectrometer. As shown in Figure 18, in addition to the data on the concentrations of various species, one can estimate the total pressure in the package if enough gas is released during the puncture process [172]. Once the gas constituents are known, getter materials and outgassing processes can be optimized.

5. Outlook and Conclusions

The need to reduce sensor size, weight, power, and cost (SWaP-C) is causing a shift in packaging architectures from multichip approaches, where IC and MEMS are integrated at the board or package level to SoC approaches in which MEMS and IC are integrated much earlier in the fabrication process. In parallel, pixelated sensors, which, due to their need for higher interconnect densities, have long been packaged in SoC architectures, are transitioning from die-level packaging to wafer-level packaging approaches to reduce SWaP-C. These trends are converging on the need for IC-compatible, wafer-level packaging solutions that provide hermetic or vacuum enclosures for SoC smart sensing components. The incumbent WLVP approaches used to package discrete MEMS devices such as anodic, direct, or glass frit bonding are not compatible with the thermal budget of the IC circuitry and/or require too much valuable smart sensor real estate. Device and process designers have been therefore modifying alternative, CMOS-compatible interconnect solutions developed originally for IC integration for the WLVP of smart sensors.
The relative maturity of the CMOS-compatible, metal-based WLVP solution is typically congruent with the relative maturity of the IC solution from which it originated. Of the various bonding techniques discussed, the Cu-Sn SLID, Au-Sn eutectic, and Au-Au and Cu-Cu thermocompression are the most thoroughly researched. Interest in the other bonding approaches is primarily driven by unique device and business model requirements. For example, the Au-In SLID bonding development is aimed at devices with thermal ceilings below 200 °C; the Au-Sn SLID research is motivated by devices with very high operating temperatures, and the Al-Ge eutectic process is a result of fabless business models. Table 8 provides a summary of relative strengths and weaknesses of bonding approaches reviewed in this paper.
There are other traditional and emerging IC packaging techniques that could provide WLVP solutions. Bonding with the ductile and well-understood Pb0.38Sn0.62 solder could be evaluated for space and military applications that provide Restriction of Hazardous Substances exceptions. Sn0.97Ag0.03 eutectic, a commonly used lead (Pb)-free solder, may offer another potential WLVP approach. Plasma-assisted dry soldering (PADS), forming gas, and formic acid etching provide well-established techniques to remove native oxides on these materials prior to bonding. Another alternative bonding approach uses nanostructured materials that can be tailored to produce desirable traits such as reduced bonding temperatures and reduced stiffness [173].
Most of the reported research in WLVP has been performed on proxy or surrogate wafers that did not contain functional sensors. Although these studies are useful for demonstrating and narrowing down potential WLVP solutions, the community would benefit from reports of the performance of functional smart sensors packaged using wafer-level techniques. The presence of active devices affects the WLVP outcome through at least two factors. One is the outgassing from the device structure. The second is the potential sensitivity of the device operation to mechanical strains that may be introduced by the presence of the microchambers bonded to the device wafer. The mechanical impact of the package on the sensing device is largely unknown. Many of the equivalent IC interconnect solutions mitigate package-induced stresses through the use of underfills and stress-absorbing polymers, solutions that are not directly applicable to WLVP. A more extensive modeling of thermomechanical behavior of smart sensors in WLVPs is needed to illuminate the effect of stress and potential solutions. The community also needs to gain more insight into the related issues of reliability of packaged components for which reported data are scarce.
The development of a successful WLVP process depends on the capabilities of bonding equipment and getter materials. The majority of commercially available wafer bonding equipment and thin film getter materials were developed for traditional MEMS bonding techniques, and are not as easily integrated into SoC packages. The SoC smart sensors are often more temperature-sensitive and more sensitive to outgassing because of their smaller package volumes. They also provide less surface area for getter deposition and therefore require better patterning techniques to take advantage of all the available area, without contaminating the seals. Complete activation of thin film getters can only be achieved above 400 °C, and only the state-of-the-art bonding systems can provide adequate control of the wafer spacing and temperature. The development of improved patterning techniques for thin-film getters and the development of getters with lower activation temperatures will broadly enable the WLVP of smart sensors. In parallel, WLVP technology will benefit from further proliferation of bonding systems that allow independent heating and cooling of wafers to be bonded, in situ alignment, and lower base pressures. Once mature, these CMOS compatible wafer-level packages could replace the multitude of custom packages that exist currently in the sensor industry (the “one product, one package” phenomenon). The existence of a more standard approach would reduce the cost and the development cycle for smart sensors and enable them meet the challenge and the opportunity brought about by the Internet of Things.

Acknowledgments

The authors gratefully acknowledge the financial support of RTI International for the writing of this review article.

Conflicts of Interest

The authors declare no conflict of interest.

Abbreviations

The following abbreviations are used in this manuscript:
2D
two-dimensional
3D
three-dimensional
Al
aluminum
Au
gold
CMOS
complementary metal-oxide semiconductor (CMOS)
CMP
chemical mechanical planarization
CO
carbon monoxide
CO2
carbon dioxide
Cr
chromium
CTE
coefficient of thermal expansion
Cu
copper
eV
electron volt
Ge
germanium
H2
hydrogen
HF
hydrofluoric
HR-IVA
high-resolution internal vapor analysis
IC
integrated circuit
IMC
intermetallic compound
In
indium
IoT
Internet-of-Things
MEMS
microelectromechanical systems
MOEMS
micro-optoelectromechanical systems
MPa
Megapascal
N2
nitrogen
Ni
nickel
nm
nanometers
O2
oxygen
ORS
Oneida Research Services
PADS
plasma-assisted dry soldering
Pb
lead
RF
radiofrequency
RGA
residual gas analysis
ROIC
readout integrated circuit
SAM
self-assembled monolayer
Si
silicon
SLID
solid-liquid interdiffusion
Sn
tin
SoC
system-on-chip
SPIE
Society of Photo-optical Instrumentation Engineers
SWaP-C
size, weight, power, and cost
TaN
tantalum nitride
Ti
titanium
TLP
transient liquid phase
USM
under-seal metal
WLP
wafer-level packaging
WLVP
wafer-level vacuum packaging
Zr
zirconium

References

  1. Shanklin, T.A. CERIAS Tech Report 2012-07, an Agent-Based Model for Navigation Simulation in a Heterogeneous Environment. Available online: https://www.cerias.purdue.edu/assets/pdf/bibtex_archive/2012-07.pdf (accessed on 21 October 2016).
  2. Fischer, A.C.; Forsberg, F.; Lapisa, M.; Bleiker, S.J.; Stemme, G.; Roxhed, N.; Niklaus, F. Integrating MEMS and ICs. Microsyst. Nanoeng. 2015, 1, 15005. [Google Scholar] [CrossRef]
  3. Pelzer, R.; Kirchberger, H.; Kettner, P. Wafer-to-Wafer Bonding Techniques: From MEMS Packaging to IC Integration Applications. In Proceedings of the 2005 6th International Conference on Electronic Packaging Technology, Shenzhen, China, 30 August–2 September 2005; pp. 1–6.
  4. Knechtel, R. Aspects of bonding processed CMOS wafers. ECS Trans. 2008, 16, 39–46. [Google Scholar]
  5. Hausner, R. Wafer-bonding for MEMS—Status and trends. ECS Trans. 2014, 64, 245–251. [Google Scholar] [CrossRef]
  6. Lapisa, M.; Stemme, G.; Niklaus, F. Wafer-level heterogeneous integration for MOEMS, MEMS, and NEMS. IEEE J. Sel. Top. Quantum Electron. 2011, 17, 629–644. [Google Scholar] [CrossRef]
  7. Niklaus, F.; Lapisa, M.; Bleiker, S.J.; Dubois, V.; Roxhed, N.; Fischer, A.C.; Forsberg, F.; Stemme, G.; Grogg, D.; Despont, M. Wafer-level heterogeneous 3D integration for MEMS and NEMS. In Proceedings of the 2012 3rd IEEE International Workshop on Low Temperature Bonding for 3D Integration (LTB-3D), Tokyo, Japan, 22–23 May 2012; pp. 247–252.
  8. Kuisma, H. Introduction to Encapsulation of MEMS-Chapter Thirty; Elsevier Inc.: Burlington, MA, USA, 2010; pp. 501–504. [Google Scholar]
  9. Esashi, M. Wafer level packaging of MEMS. J. Micromech. Microeng. 2008, 18, 073001. [Google Scholar] [CrossRef]
  10. Dragoi, V.; Pabo, E. Wafer bonding technology for new generation vacuum MEMS: Challenges and promises. In Proceedings of the Smart Sensors, Actuators, and MEMS VII; and Cyber Physical Systems, Barcelona, Spain, 21 May 2015.
  11. Dragoi, V.; Cakmak, E.; Pabo, E. Wafer bonding with metal layers for MEMS applications. In Proceedings of the CAS 2009 International Semiconductor Conference, Sinaia, Romania, 12–14 October 2009; pp. 215–218.
  12. Chiao, M.; Cheng, Y.-T.; Lin, L. Introduction to MEMS packaging. In Microsystems and Nanotechnology; Zhou, Z., Wang, Z., Lin, L., Eds.; Springer: Berlin/Heidelberg, Germany, 2012; pp. 415–446. [Google Scholar]
  13. Lee, S.-H.; Mitchell, J.; Welch, W.; Lee, S.; Najafi, K. Wafer-level vacuum/hermetic packaging technologies for MEMS. In Proceedings of the Reliability, Packaging, Testing, and Characterization of MEMS/MOEMS and Nanodevices IX, San Francisco, CA, USA, 5 February 2010.
  14. Garcia-Blanco, S.; Topart, P.; Le Foulgoc, K.; Caron, J.-S.; Desroches, Y.; Alain, C.; Chateauneuf, F.; Jerominek, H. Hybrid wafer-level vacuum hermetic micropackaging technology for MOEMS-MEMS. In Proceedings of the Reliability, Packaging, Testing, and Characterization of MEMS/MOEMS and Nanodevices VIII, San Jose, CA, USA, 24 January 2009.
  15. Dragoi, V.; Pawlak, M.; Flotgen, C.; Mittendorfer, G.; Pabo, E. Wafer bonding for vacuum encapsulated MEMS. In Proceedings of the 2013 International Semiconductor Conference (CAS), Sinaia, Romania, 14–16 October 2013; pp. 17–20.
  16. Byeungleul, L.; Seonho, S.; Kukjin, C. A study on wafer level vacuum packaging for MEMS devices. J. Micromech. Microeng. 2003, 13, 663. [Google Scholar]
  17. Kullberg, R.C. Review of vacuum packaging and maintenance of MEMS and the use of getters therein. J. Micro Nanolithogr. MEMS MOEMS 2009, 8, 031307. [Google Scholar] [CrossRef]
  18. Shimbo, M.; Furukawa, K.; Fukuda, K.; Tanzawa, K. Silicon-to-silicon direct bonding method. J. Appl. Phys. 1986, 60, 2987–2989. [Google Scholar] [CrossRef]
  19. Gösele, U.; Tong, Q.-Y. Semiconductor wafer bonding. Annu. Rev. Mater. Sci. 1998, 28, 215–241. [Google Scholar] [CrossRef]
  20. Dragoi, V.; Matthias, T.; Rebhan, B.; Huysmans, F. Low temperature wafer bonding of CMOS wafers. In Proceedings of the 2012 IEEE 14th Electronics Packaging Technology Conference (EPTC), Singapore, 5–7 December 2012; pp. 197–201.
  21. Plach, T.; Dragoi, V.; Murauer, F.; Hingerl, K. Plasma activation for low temperature wafer bonding. ECS Trans. 2008, 16, 549–559. [Google Scholar]
  22. Henttinena, K.; Sunib, T. Silicon direct bonding. In Handbook of Silicon Based MEMS Materials and Technologies; Elsevier Inc.: Burlington, MA, USA, 2010; pp. 505–512. [Google Scholar]
  23. Israelachvili, J.N. Intermolecular and Surface Forces; Academic Press: San Diego, CA, USA, 2011. [Google Scholar]
  24. Reiche, M.; Gösele, U. Direct wafer bonding. In Handbook of Wafer Bonding; Wiley-VCH Verlag GmbH & Co. KGaA: Weinheim, Germany, 2012; pp. 81–100. [Google Scholar]
  25. Cozma Lapadatu, A.; Jakobsen, H. Anodic bonding-chapter thirty two. In Handbook of Silicon Based MEMS Materials and Technologies; Elsevier Inc.: Burlington, MA, USA, 2010; pp. 513–520. [Google Scholar]
  26. Wallis, G.; Pomerantz, D.I. Field assisted glass-metal sealing. J. Appl. Phys. 1969, 40, 3946–3949. [Google Scholar] [CrossRef]
  27. Lapadatu, A.C.; Schjølberg-Henriksen, K. Anodic bonding. In Handbook of Wafer Bonding; Wiley-VCH Verlag GmbH & Co. KGaA: Weinheim, Germany, 2012; pp. 63–80. [Google Scholar]
  28. Knechtel, R. Bonding of CMOS processed wafers-chapter thirty five. In Handbook of Silicon Based MEMS Materials and Technologies; Elsevier Inc.: Burlington, MA, USA, 2010; pp. 543–550. [Google Scholar]
  29. Knechtel, R. Glass frit bonding: An universal technology for wafer level encapsulation and packaging. Microsyst. Technol. 2005, 12, 63–68. [Google Scholar] [CrossRef]
  30. Knechtel, R. Glass frit wafer bonding. In Handbook of Wafer Bonding; Wiley-VCH Verlag GmbH & Co. KGaA: Weinheim, Germany, 2012; pp. 1–17. [Google Scholar]
  31. Garnier, A.; Lagoutte, E.; Baillin, X.; Gillot, C.; Sillon, N. Gold-tin bonding for 200mm wafer level hermetic MEMS packaging. In Proceedings of the IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 31 May–3 June 2011; pp. 1610–1615.
  32. Demir, E.C.; Torunbalci, M.M.; Donmez, I.; Kalay, Y.E.; Akin, T. Fabrication and characterization of gold-tin eutectic bonding for hermetic packaging of MEMS devices. In Proceedings of the 2014 IEEE 16th Electronics Packaging Technology Conference (EPTC), Singapore, 3–5 December 2014; pp. 241–245.
  33. Choa, S.-H. Reliability study of hermetic wafer level MEMS packaging with through-wafer interconnect. Microsyst. Technol. 2009, 15, 677–686. [Google Scholar] [CrossRef]
  34. Belov, N.; Chou, T.K.; Heck, J.; Kornelsen, K.; Spicer, D.; Akhlaghi, S.; Wang, M.; Zhu, T. Thin-layer Au-Sn solder bonding process for wafer-level packaging, electrical interconnections and MEMS applications. In Proceedings of the IEEE International Interconnect Technology Conference, Sapporo, Japan, 1–3 June 2009; pp. 128–130.
  35. Torunbalci, M.M.; Demir, E.C.; Donmez, I.; Alper, S.E.; Akin, T. Gold-tin eutectic bonding for hermetic packaging of MEMS devices with vertical feedthroughs. In Proceedings of the 2014 IEEE SENSORS, Valencia, Spain, 2–5 November 2014; pp. 2187–2190.
  36. Cai, J.; Wang, Q.; Li, X.; Kim, W.; Wang, S.; Hwang, J.; Moon, C. Microstructure of AuSn wafer bonding for RF-MEMS packaging. In Proceedings of the 2005 6th International Conference on Electronic Packaging Technology, Shenzhen, China, 31 August–2 September 2005; pp. 1–5.
  37. Kim, W.; Wang, Q.; Hwang, J.; Lee, M.; Jung, K.; Ham, S.; Moon, C.; Baeks, K.; Ha, B.; Song, I. A low temperature, hermetic wafer level packaging method for RF MEMS switch. In Proceedings of the 55th Electronic Components and Technology Conference, San Diego, CA, USA, 31 May–3 June 2005; pp. 1103–1108.
  38. Kim, W.; Wang, Q.; Jung, K.; Hwang, J.; Moon, C. Application of Au-Sn eutectic bonding in hermetic RF MEMS wafer level packaging. In Proceedings of the 9th International Symposium on Advanced Packaging Materials: Processes, Properties and Interfaces, Atlanta, GA, USA, 24–26 March 2004; pp. 215–219.
  39. Yu, A.; Premachandran, C.S.; Nagarajan, R.; Kyoung, C.W.; Trang, L.Q.; Kumar, R.; Lim, L.S.; Han, J.H.; Jie, Y.G.; Damaruganath, P. Design, process integration and characterization of wafer level vacuum packaging for MEMS resonator. In Proceedings of the 2010 60th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 1–4 June 2010; pp. 1669–1673.
  40. Kim, H.Y.; Yang, C.; Park, J.H.; Jung, H.; Kim, T.; Kim, K.T.; Lim, S.K.; Lee, S.W.; Mitchell, J.; Hwang, W.J.; et al. Wafer-level reliability characterization for wafer-level packaged microbolometer with ultra-small array size. In Proceedings of the Infrared Technology and Applications XXXIX, Baltimore, MD, USA, 29 April 2013.
  41. Oppermann, H.; Hutter, M. Au/Sn solder. In Handbook of Wafer Bonding; Wiley-VCH Verlag GmbH & Co. KGaA: Weinheim, Germany, 2012; pp. 119–138. [Google Scholar]
  42. Pactech Website. Available online: http://pactech.de/ (accessed on 21 October 2016).
  43. Oppert, T. Advanced Technologies and Equipment for 3D-packaging. Available online: http://stg.semiconrussia.org/en/sites/semiconrussia.org/files/docs/2.%20SEMI_2014_Oppert_%20PacTech%202014.pdf (accessed on 21 October 2016).
  44. Huang, M.L.; Huang, F.F.; Pan, J.L.; Zhang, T.X. Composition control of co-electroplating Au–Sn deposits using experimental strategies. J. Mater. Sci. Mater. Electron. 2014, 25, 4933–4942. [Google Scholar] [CrossRef]
  45. Pan, J.; Huang, M. Au-Sn co-electroplating solution for flip chip-LED bumps. In Proceedings of the 2010 11th International Conference on Electronic Packaging Technology & High Density Packaging (ICEPT-HDP), Xi’an, China, 16–19 August 2010; pp. 283–287.
  46. Sun, W.; Ivey, D.G. Development of an electroplating solution for codepositing Au–Sn alloys. Mater. Sci. Engin B 1999, 65, 111–122. [Google Scholar] [CrossRef]
  47. Zhang, L.; Ng Seow, C.; Li, Z.; Fang Shu, N.; Nguty, T.; de Bruin, E.; An, X.; Thoonen, H. Development of advanced AuSn alloy plating technology for semiconductor application. In Proceedings of the 2014 15th International Conference on Electronic Packaging Technology (ICEPT), Chengdu, China, 12–15 August 2014; pp. 113–116.
  48. Yoon, J.-W.; Chun, H.-S.; Koo, J.-M.; Jung, S.-B. Au–Sn flip-chip solder bump for microelectronic and optoelectronic applications. Microsyst. Technol. 2007, 13, 1463–1469. [Google Scholar] [CrossRef]
  49. Dietrich, L.; Engelmann, G.; Ehrmann, O.; Reichl, H. Gold and gold-tin wafer bumping by electrochemical deposition for flip chip and tab. DVS Berichte 1998, 191, 28–31. [Google Scholar]
  50. Lee, C.C.; Wang, C.Y.; Matijasevic, G.S. A new bonding technology using gold and tin multilayer composite structures. IEEE Trans. Compon. Hybrids Manuf. Technol. 1991, 14, 407–412. [Google Scholar] [CrossRef]
  51. Bonafede, S.; Huffman, A.; Palmer, W.D. Layer structure and thickness effects on electroplated AuSn solder bump composition. IEEE Trans. Compon. Packag. Technol. 2006, 29, 604–609. [Google Scholar] [CrossRef]
  52. Hutter, M.; Oppermann, H.; Engelmann, G.; Wolf, J.; Ehrmann, O.; Aschenbrenner, R.; Reichl, H. Calculation of shape and experimental creation of AuSn solder bumps for flip chip applications. In Proceedings of the 52nd Electronic Components and Technology Conference, San Diego, CA, USA, 28–31 May 2002; pp. 282–288.
  53. Wolffenbuttel, R.F. Low-temperature intermediate Au-Si wafer bonding; eutectic or silicide bond. Sens. Actuators A Phys. 1997, 62, 680–686. [Google Scholar] [CrossRef]
  54. Lin, B.W.; Wu, N.J.; Wu, Y.C.S.; Hsu, S.C. A stress analysis of transferred thin-GaN light-emitting diodes fabricated by Au-Si wafer bonding. J. Disp. Technol. 2013, 9, 371–376. [Google Scholar] [CrossRef]
  55. Lee, K.R.; Kim, K.; Park, H.-D.; Kim, Y.K.; Choi, S.-W.; Choi, W.B. Fabrication of capacitive absolute pressure sensor using Si-Au eutectic bonding in SOI wafer. J. Phys. Conf. Ser. 2006, 34, 393. [Google Scholar] [CrossRef]
  56. Mitchell, J. Low temperature wafer level vacuum packaging using Au-Si eutectic bonding and localized heating. Ph.D. Thesis, University of Michigan, Ann Arbor, MI, USA, 2008. [Google Scholar]
  57. Mitchell, J.; Lahiji, G.R.; Najafi, K. Encapsulation of vacuum sensors in a wafer level package using a gold-silicon eutectic. In Proceedings of the 13th International Conference on Solid-State Sensors, Actuators and Microsystems, Seoul, Korea, 5–9 June 2005; pp. 928–931.
  58. Mitchell, J.S.; Najafi, K. A detailed study of yield and reliability for vacuum packages fabricated in a wafer-level Au-Si eutectic bonding process. In Proceedings of the International Solid-State Sensors, Actuators and Microsystems Conference, Denver, CO, USA, 21–25 June 2009; pp. 841–844.
  59. Haubold, M.; Lin, Y.-C.; Frömel, J.; Wiemer, M.; Esashi, M.; Geßner, T. A novel approach for increasing the strength of an Au/Si eutectic bonded interface on an oxidized silicon surface. Microsyst. Technol. 2012, 18, 515–521. [Google Scholar] [CrossRef]
  60. Henry, M.D.; Ahlers, C.R. Platinum diffusion barrier breakdown in a-Si/Au eutectic wafer bonding. IEEE Trans. Compon. Packag. Manuf. Technol. 2013, 3, 899–903. [Google Scholar] [CrossRef]
  61. Iliescu, C.; Poenar, D.P.; Nanyang, J.M. Aluminum-silicon and gold-silicon eutectics: New opportunities for MEMS technologies. MRS Online Proc. Libr. 2001, 687. [Google Scholar] [CrossRef]
  62. Jing, E.; Xiong, B.; Wang, Y. Low-temperature Au/a-Si wafer bonding. Electron. Lett. 2010, 46, 1143–1144. [Google Scholar] [CrossRef]
  63. Lani, S.; Bosseboeuf, A.; Belier, B.; Clerc, C.; Gousset, C.; Aubert, J. Gold metallizations for eutectic bonding of silicon wafers. Microsyst. Technol. 2006, 12, 1021–1025. [Google Scholar] [CrossRef]
  64. Lin, Y.C.; Baum, M.; Haubold, M.; Fromel, J.; Wiemer, M.; Gessner, T.; Esashi, M. Development and evaluation of AuSi eutectic wafer bonding. In Proceedings of the International Solid-State Sensors, Actuators and Microsystems Conference, Denver, CO, USA, 21–25 June 2009; pp. 244–247.
  65. Ye, T.; Song, Z.; Du, Y.; Wang, Z. Reliability of Au-Si eutectic bonding. In Proceedings of the 2014 15th International Conference on Electronic Packaging Technology (ICEPT), Chengdu, China, 12–15 August 2014; pp. 1080–1082.
  66. Wolffenbuttel, R.F.; Wise, K.D. Low-temperature silicon wafer-to-wafer bonding using gold at eutectic temperature. Sens. Actuators A Phys. 1994, 43, 223–229. [Google Scholar] [CrossRef]
  67. Hiraki, A. Low temperature reactions at Si-metal contacts –from SIO2 growth due to Si–Au reaction to the mechanism of silicide formation. Jpn. J. Appl. Phys. 1983, 22, 549. [Google Scholar] [CrossRef]
  68. Nakashima, K.; Iwami, M.; Hiraki, A. Low temperature diffusion of Au into Si in the Si(substrate)-Au(film) system. Thin Solid Films 1975, 25, 423–430. [Google Scholar] [CrossRef]
  69. Narusawa, T.; Komiya, S.; Hiraki, A. Auger spectroscopic observation of Si–Au mixed-phase formation at low temperatures. Appl. Phys. Lett. 1972, 21, 272–273. [Google Scholar] [CrossRef]
  70. Torunbalci, M.M.; Alper, S.E.; Akin, T. Advanced MEMS process for wafer level hermetic encapsulation of MEMS devices using SOI cap wafers with vertical feedthroughs. J. Microelectromech. Syst. 2015, 24, 556–564. [Google Scholar] [CrossRef]
  71. Crnogorac, F.; Birringer, R.; Dauskardt, R.; Pease, F. Aluminum-germanium eutectic bonding for 3D integration. In Proceedings of the IEEE International Conference on 3D System Integration, San Francisco, CA, USA, 28–30 September 2009; pp. 1–5.
  72. Goßler, C.; Kunzer, M.; Baum, M.; Wiemer, M.; Moser, R.; Passow, T.; Köhler, K.; Schwarz, U.T.; Wagner, J. Aluminum–germanium wafer bonding of (AlGain)N thin-film light-emitting diodes. Microsyst. Technol. 2012, 19, 655–659. [Google Scholar] [CrossRef]
  73. Zavracky, P.M.; Vu, B. Patterned eutectic bonding with Al/Ge thin films for MEMS. In Proceedings of the Micromachining and Microfabrication Process Technology, Austin, TX, USA, 23 October 1995; pp. 46–52.
  74. Perez-Quintana, I.; Ottaviani, G.; Tonini, R.; Felisari, L.; Garavaglia, M.; Oggioni, L.; Morin, D. An aluminum-germanium eutectic structure for silicon wafer bonding technology. Phys. Status Solidi C 2005, 2, 3706–3709. [Google Scholar] [CrossRef]
  75. Park, W.-T.; Jang, J.-W.; Clare, T.; Liu, L. Microstructure and mechanical properties of aluminum–germanium eutectic bonding with polysilicon metallization for microelectromechanical systems (MEMS) packaging. Scr. Mater. 2011, 64, 733–736. [Google Scholar] [CrossRef]
  76. Chidambaram, V.; Wickramanayaka, S. Al-Ge diffusion bonding for hermetic sealing application. J. Electron. Mater. 2015, 44, 2387–2395. [Google Scholar] [CrossRef]
  77. Chidambaram, V.; Yeung, H.; Shan, G. Development of metallic hermetic sealing for MEMS packaging for harsh environment applications. J. Electron. Mater. 2012, 41, 2256–2266. [Google Scholar] [CrossRef]
  78. Sood, S.; Farrens, S.; Pinker, R.; Xie, J.; Cataby, W. Al-Ge eutectic wafer bonding and bond characterization for CMOS compatible wafer packaging. ECS Trans. 2010, 33, 93–101. [Google Scholar]
  79. Gu, A.; Lin, P.-C.; Chang, V.; Li, T.; Zhang, J.-W.; Jiang, J.-Y.; Xing, C. Study on Al-Ge bonding and quality improvement in CMEMS process. ECS Trans. 2012, 44, 1393–1399. [Google Scholar]
  80. Chua, G.L.; Chen, B.; Singh, N. Investigation of Al and Ge surfaces for Al-Ge wafer level eutectic bonding. In Proceedings of the 2015 IEEE 17th Electronics Packaging and Technology Conference (EPTC), Singapore, 2–4 December 2015; pp. 1–5.
  81. Nasiri, S. New innovations in MEMS fabrications are responsible for meeting the demand for low-cost intertial sensors for consumer markets. In Proceedings of the International Symposium on Microelectronics, San Jose, CA, USA, 11–15 November 2007; pp. 407–412.
  82. Nasiri, S.S.; Flannery, A.F. Method of fabrication of a Al/Ge bonding in a wafer packaging environment and a product produced therefrom. US Patent 7,442,570, October 2008. [Google Scholar]
  83. Clarke, P. InvenSense Opens up Process to Enable Fabless MEMS. EE Times. 2012. Available online: http://www.eetimes.com/document.asp?doc_id=1261856 (accessed on 21 October 2016).
  84. Crnogorac, F.; Pease, F.R.W.; Birringer, R.P.; Dauskardt, R.H. Low-temperature Al–Ge bonding for 3D integration. J. Vac. Sci. Technol. B 2012, 30, 06FK01. [Google Scholar] [CrossRef]
  85. Jacobson, D.M.; Humpston, G. Diffusion soldering. Solder. Surf. Mt. Technol. 1992, 4, 27–32. [Google Scholar] [CrossRef]
  86. Hoivik, N.; Aasmundtveit, K. Wafer-level solid–liquid interdiffusion bonding. In Handbook of Wafer Bonding; Wiley-VCH Verlag GmbH & Co. KGaA: Weinheim, Germany, 2012; pp. 181–214. [Google Scholar]
  87. Reed, J.D.; Lueck, M.; Gregory, C.; Huffman, C.A.; Lannon, J.M.; Temple, D. Reliability and ultra-low temperature bonding of high density large area arrays with Cu/Sn-Cu interconnects for 3D integration. In Proceedings of the 2010 International Interconnect Technology Conference (IITC), Burlingame, CA, USA, 6–9 June 2010; pp. 1–3.
  88. Reed, J.D.; Lueck, M.; Gregory, C.; Huffman, A.; Lannon, J.M.; Temple, D. High density interconnect at 10um pitch with mechanically keyed Cu/Sn-Cu and Cu-Cu bonding for 3-D integration. In Proceedings of the 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 1–4 June 2010; pp. 846–852.
  89. Tanida, K.; Umemoto, M.; Tomita, Y.; Tago, M.; Nemoto, Y.; Ando, T.; Takahashi, K. Ultra-high-density 3D chip stacking technology. In Proceedings of the 53rd Electronic Components and Technology Conference, New Orleans, LA, USA, 27–30 May 2003; pp. 1084–1089.
  90. He, L.; Salomonsen, G.; Kaiying, W.; Aasmundtveit, K.E.; Hoivik, N. Wafer-level Cu/Sn to Cu/Sn slid-bonded interconnects with increased strength. IEEE Trans. Compon. Packag. Manuf. Technol. 2011, 1, 1350–1358. [Google Scholar]
  91. Lueck, M.R.; Reed, J.D.; Gregory, C.W.; Huffman, A.; Lannon, J.M.; Temple, D.S. High-density large-area-array interconnects formed by low-temperature Cu/Sn—Cu bonding for three-dimensional integrated circuits. IEEE Trans. Electron. Devices 2012, 59, 1941–1947. [Google Scholar] [CrossRef]
  92. Lannon, J.M.; Gregory, C.; Lueck, M.; Reed, J.D.; Huffman, C.A.; Temple, D. High density metal—Metal interconnect bonding for 3-D integration. IEEE Trans. Compon. Packag. Manuf. Technol. 2012, 2, 71–78. [Google Scholar] [CrossRef]
  93. Xu, H.; Suni, T.; Vuorinen, V.; Li, J.; Heikkinen, H.; Monnoyer, P.; Paulasto-Kröckel, M. Wafer-level slid bonding for MEMS encapsulation. Adv. Manuf. 2013, 1, 226–235. [Google Scholar] [CrossRef]
  94. Xu, H.; Rautiainen, A.; Vuorinen, V.; Osterlund, E.; Suni, T.; Heikkinen, H.; Monnoyer, P.; Paulasto-Krockel, M. Reliability performance of Au-Sn and Cu-Sn wafer level slid bonds for MEMS. In Proceedings of the Electronics System-Integration Technology Conference (ESTC), Helsinki, Finland, 16–18 September 2014; pp. 1–5.
  95. Van de Wiel, H.J.; Vardoy, A.S.B.; Hayes, G.; Kouters, M.H.M.; van der Waal, A.; Erinc, M.; Lapadatu, A.; Martinsen, S.; Taklo, M.M.V.; Fischer, H.R. Systematic characterization of key parameters of hermetic wafer-level Cu-Sn slid bonding. In Proceedings of the European Microelectronics Packaging Conference (EMPC), Grenoble, France, 9–12 September 2013; pp. 1–6.
  96. Van de Wiel, H.J.; Vardoy, A.S.B.; Hayes, G.; Fischer, H.R.; Lapadatu, A.; Taklo, M.M.V. Characterization of hermetic wafer-level Cu-Sn slid bonding. In Proceedings of the 4th Electronic System-Integration Technology Conference (ESTC), Amsterdam, The Netherlands, 17–20 September 2012; pp. 1–7.
  97. Schmid, U.; Flötgen, C.; Pawlak, M.; Pabo, E.; van de Wiel, H.J.; Hayes, G.R.; Dragoi, V.; Sánchez de Rojas Aldavero, J.L.; Leester-Schaedel, M. Cu-Sn transient liquid phase wafer bonding for MEMS applications. In Proceedings of the Smart Sensors, Actuators, and MEMS VI, Grenoble, France, 24 April 2013.
  98. Pham, N.P.; Limaye, P.; Czarnecki, P.; Olalla, V.P.; Cherman, V.; Tezcan, D.S.; Tilmans, H.A.C. Metal-bonded, hermetic 0-level package for MEMS. In Proceedings of the 12th Electronics Packaging Technology Conference (EPTC), Singapore, 8–10 December 2010; pp. 1–6.
  99. Marauska, S.; Claus, M.; Lisec, T.; Wagner, B. Low temperature transient liquid phase bonding of Au/Sn and Cu/Sn electroplated material systems for MEMS wafer-level packaging. Microsyst. Technol. 2012, 19, 1119–1130. [Google Scholar] [CrossRef]
  100. Ani, D.; Aasmundtveit, K.; Hoivik, N. Ultra-low leak detection of Cu-Sn slid for high density wafer level packaging. In Proceedings of the 2011 12th International Conference on Electronic Packaging Technology and High Density Packaging (ICEPT-HDP), Shanghai, China, 8–11 August 2011; pp. 1–4.
  101. Taklo, M.M.V.; Vardøy, A.-S.; De Wolf, I.; Simons, V.; van de Wiel, H.J.; van der Waal, A.; Lapadatu, A.; Martinsen, S.; Wunderle, B. Residual stress in silicon caused by Cu-Sn wafer-level packaging. In Proceedings of the ASME 2013 International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems, Burlingame, CA, USA, 16–18 July 2013.
  102. Forsberg, F.; Roxhed, N.; Fischer, A.C.; Samel, B.; Ericsson, P.; Hoivik, N.; Lapadatu, A.; Bring, M.; Kittilsland, G.; Stemme, G.; et al. Very large scale heterogeneous integration (VLSHI) and wafer-level vacuum packaging for infrared bolometer focal plane arrays. Infrared Phys. Technol. 2013, 60, 251–259. [Google Scholar] [CrossRef]
  103. Hilton, A.; Temple, D.; Lannon, J.M.; Schimert, T.; Gooch, R.; Trujillo, C.; Miskimins, S.; Chuan, L. Wafer-level vacuum packaging of microbolometer-based infared imagers. In Proceedings of the International Wafer-Level Packaging Conference, San Jose, CA, USA, 19–20 October 2016.
  104. Li, C.; Han, C.J.; Skidmore, G.D.; Cook, G.; Kubala, K.; Bates, R.; Temple, D.; Lannon, J.; Hilton, A.; Glukh, K.; et al. Low-cost uncooled VOx infrared camera development. In Proceedings of the Infrared Technology and Applications XXXIX, Baltimore, MD, USA, 2013.
  105. Ramanarayanan, T.; Rapp, R. The diffusivity and solubility of oxygen in liquid tin and solid silver and the diffusivity. Metall. Trans. 1972, 3, 3239–3246. [Google Scholar] [CrossRef]
  106. Wei, L.; Lee, Y.C. Study of fluxless soldering using formic acid vapor. IEEE Trans. Adv. Packag. 1999, 22, 592–601. [Google Scholar]
  107. Liu, H.; Wang, K.; Aasmundtveit, K.; Hoivik, N. Intermetallic Cu3Sn as oxidation barrier for fluxless Cu-Sn bonding. In Proceedings of the 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), Las Vegas, NV, USA, 1–4 June 2010; pp. 853–857.
  108. Hoivik, N.; Kaiying, W.; Aasmundtveit, K.; Salomonsen, G.; Lapadatu, A.; Kittilsland, G.; Stark, B. Fluxless wafer-level Cu-Sn bonding for micro- and nanosystems packaging. In Proceedings of the 3rd Electronic System-Integration Technology Conference (ESTC), Berlin, Germany, 13–16 September 2010; pp. 1–5.
  109. Bosco, N.S.; Zok, F.W. Critical interlayer thickness for transient liquid phase bonding in the Cu–Sn system. Acta Mater. 2004, 52, 2965–2972. [Google Scholar] [CrossRef]
  110. Luu, T.-T.; Duan, A.; Aasmundtveit, K.; Hoivik, N. Optimized Cu-Sn wafer-level bonding using intermetallic phase characterization. J. Electron. Mater. 2013, 42, 3582–3592. [Google Scholar] [CrossRef]
  111. Luu, T.-T.; Duan, A.; Wang, K.; Aasmundtveit, K.E.; Hoivik, N. Optimization of Cu/Sn wafer-level bonding based upon intermetallic characterization. In Proceedings of the 2012 4th Electronic System-Integration Technology Conference (ESTC), Amsterdam, The Netherlands, 17–20 September 2012; pp. 1–5.
  112. Luu, T.-T. Solid Liquid Inter-Diffusion Wafer-Level Bonding for MEMS Packaging. Ph.D. Thesis, Buskerud and Vestfold University College, Horten, Norway, July 2015. [Google Scholar]
  113. Chiu, T.C.; Zeng, K.; Stierman, R.; Edwards, D.; Ano, K. Effect of thermal aging on board level drop reliability for Pb-free BGA packages. In Proceedings of the 54th Electronic Components and Technology Conference, Las Vegas, NV, USA, 1–4 June 2004; pp. 1256–1262.
  114. Borgesen, P.; Yin, L.; Kondos, P.; Henderson, D.W.; Servis, G.; Therriault, J.; Wang, J.; Srihari, K. Sporadic degradation in board level drop reliability—Those aren’t all kirkendall voids! In Proceedings of the 2007 57th Electronic Components and Technology Conference, Sparks, NV, USA, 29 May–1 June 2007; pp. 136–146.
  115. Zou, J.; Mo, L.; Wu, F.; Wang, B.; Liu, H.; Zhang, J.; Wu, Y. Effect of Cu substrate and solder alloy on the formation of kirkendall voids in the solder joints during thermal aging. In Proceedings of the 2010 11th International Conference on Electronic Packaging Technology & High Density Packaging (ICEPT-HDP), Xi’an, China, 16–19 August 2010; pp. 944–948.
  116. Yang, W.; Messler, R.W.; Felton, L.E. Microstructure evolution of eutectic Sn-Ag solder joints. J. Electron. Mater. 1994, 23, 765–772. [Google Scholar] [CrossRef]
  117. Kim, J.Y.; Yu, J. Effects of residual impurities in electroplated Cu on the kirkendall void formation during soldering. Appl. Phys. Lett. 2008, 92, 092109. [Google Scholar] [CrossRef]
  118. Yu, J.; Kim, J.Y. Effects of residuals on kirkendall void formation at Cu/Sn–3.5Ag solder joints. Acta Mater. 2008, 56, 5514–5523. [Google Scholar] [CrossRef]
  119. Kumar, S.; Handwerker, C.A.; Dayananda, M.A. Intrinsic and interdiffusion in Cu-Sn system. J. Ph. Equilib. Diffus. 2011, 32, 309–319. [Google Scholar] [CrossRef]
  120. Labie, R.; Limaye, P.; Lee, K.; Berry, C.; Beyne, E.; Wolf, I.D. Reliability testing of Cu-Sn intermetallic micro-bump interconnections for 3D-device stacking. In Proceedings of the 2010 3rd Electronic System-Integration Technology Conference (ESTC), Berlin, Germany, 13–16 September 2010; pp. 1–5.
  121. Labie, R.; Ruythooren, W.; Baert, K.; Beyne, E.; Swinnen, B. Resistance to electromigration of purely intermetallic micro-bump interconnections for 3D-device stacking. In Proceedings of the 2008 International Interconnect Technology Conference, Burlingame, CA, USA, 1–4 June 2008; pp. 19–21.
  122. Mu, D.; Huang, H.; Mcdonald, S.D.; Nogita, K. Creep and mechanical properties of Cu6Sn5 and (Cu,Ni)6Sn5 at elevated temperatures. J. Electron. Mater. 2013, 42. [Google Scholar] [CrossRef]
  123. Rautiainen, A.; Xu, H.; Österlund, E.; Li, J.; Vuorinen, V.; Paulasto-Kröckel, M. Microstructural characterization and mechanical performance of wafer-level slid bonded Au-Sn and Cu-Sn seal rings for MEMS encapsulation. J. Electron. Mater. 2015, 44, 4533–4548. [Google Scholar] [CrossRef]
  124. Gregory, C.; Lueck, M.; Huffman, A.; Lannon, J.M.; Temple, D.S. High density metal-metal interconnect bonding with pre-applied fluxing underfill. In Proceedings of the 2012 IEEE 62nd Electronic Components and Technology Conference, San Diego, CA, USA, 29 May–1 June 2012; pp. 20–25.
  125. Duffy, D.; Gregory, C.; Breach, C.; Huffman, A. 3D and 2.5D packaging assembly with highly silica filled one step chip attach materials for both thermal compression bonding and mass reflow processes. In Proceedings of the 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 27–30 May 2014; pp. 1803–1809.
  126. Ghosh, G. Elastic properties, hardness, and indentation fracture toughness of intermetallics relevant to electronic packaging. J. Mater. Res. 2004, 19, 1439–1454. [Google Scholar] [CrossRef]
  127. Siewert, T.; Liu, S.; Smith, D.R.; Madeni, J.C. Database for Solder Properties with Emphasis on New Lead-free Solders. 2002. Available online: http://www.msed.nist.gov/solder/NIST_LeadfreeSolder_v4.pdf (accessed on 24 October 2016). [Google Scholar]
  128. Johnson, R.W.; Cai, W.; Yi, L.; Scofield, J.D. Power device packaging technologies for extreme environments. IEEE Trans. Electron. Packag. Manuf. 2007, 30, 182–193. [Google Scholar] [CrossRef]
  129. Aasmundtveit, K.E.; Thi-Thuy, L.; Vardoy, A.S.B.; Tollefsen, T.A.; Kaiying, W.; Hoivik, N. High-temperature shear strength of solid-liquid interdiffusion (SLID) bonding: Cu-Sn, Au-Sn and Au-In. In Proceedings of the Electronics System-Integration Technology Conference (ESTC), Helsinki, Finnland, 16–18 September 2014; pp. 1–6.
  130. Tollefsen, T.A.; Taklo, M.M.V.; Aasmundtveit, K.E.; Larsson, A. Reliable HT electronic packaging—Optimization of a Au-Sn slid joint. In Proceedings of the 2012 4th Electronic System-Integration Technology Conference (ESTC), Amsterdam, The Netherlands, 17–20 September 2012; pp. 1–6.
  131. Tollefsen, T.; Larsson, A.; Taklo, M.; Neels, A.; Maeder, X.; Høydalsvik, K.; Breiby, D.; Aasmundtveit, K. Au-Sn slid bonding: A reliable HT interconnect and die attach technology. Metall. Mater. Trans. B 2013, 44, 406–413. [Google Scholar] [CrossRef]
  132. Tollefsen, T.; Larsson, A.; Løvvik, O.; Aasmundtveit, K. Au-Sn slid bonding—Properties and possibilities. Metall. Mater. Trans. B 2012, 43, 397–405. [Google Scholar] [CrossRef]
  133. Giudice, S.; Bosshard, C. Au-Sn transient liquid phase bonding for hermetic sealing and getter activation. In Proceedings of the 2013 European Microelectronics Packaging Conference (EMPC), Grenoble, France, 9–12 September 2013; pp. 1–5.
  134. Cain, S.; Wilcox, J.; Venkatraman, R. A diffusional model for transient liquid phase bonding. Acta Mater. 1997, 45, 701–707. [Google Scholar] [CrossRef]
  135. Rodriguez, R.; Ibitayo, D.; Quintero, P. Kinetics of dissolution and isothermal solidification for gold-enriched solid–liquid interdiffusion (SLID) bonding. J. Electron. Mater. 2013, 42, 2677–2685. [Google Scholar] [CrossRef]
  136. Venkatraman, R.; Wilcox, J.; Cain, S. Experimental study of the kinetics of transient liquid phase solidification reaction in electroplated gold-tin layers on copper. Metall. Mater. Trans. A 1997, 28, 699–706. [Google Scholar] [CrossRef]
  137. Vuorinen, V.; Dong, H.; Xu, H.; Vahanen, S.; Suni, T.; Laurila, T.; Paulasto-Krockel, M. Analysis of microstructural evolution in slid-bonding used for hermetic encapsulation of MEMS devices. In Proceedings of the 2012 4th Electronic System-Integration Technology Conference (ESTC), Amsterdam, The Netherlands, 17–20 September 2012; pp. 1–5.
  138. Zhong, C.H.; Yi, S. Solder joint reliability of plastic ball grid array packages. Solder. Surf. Mt. Technol. 1999, 11, 44–48. [Google Scholar] [CrossRef]
  139. Alam, M.O.; Chan, Y.C.; Tu, K.N. Elimination of Au-embrittlement in solder joints on Au/Ni metallization. J. Mater. Res. 2004, 19, 1303–1306. [Google Scholar] [CrossRef]
  140. Grummel, B.; Mustain, H.A.; Shen, Z.J.; Hefner, A.R. Reliability study of Au-In transient liquid phase bonding for SIC power semiconductor packaging. In Proceedings of the 2011 IEEE 23rd International Symposium on Power Semiconductor Devices and ICs (ISPSD), San Diego, CA, USA, 23–26 May 2011; pp. 260–263.
  141. Grummel, B.J.; Shen, Z.J.; Mustain, H.A.; Hefner, A.R. Thermo-mechanical characterization of Au-In transient liquid phase bonding die-attach. IEEE Trans. Compon. Packag. Manuf. Technol. 2013, 3, 716–723. [Google Scholar] [CrossRef]
  142. Mustain, H.; Brown, W.D.; Ang, S.S. Transient liquid phase die attach for high-temperature silicon carbide power devices. IEEE Trans. Compon. Packag. Technol. 2010, 33, 563–570. [Google Scholar] [CrossRef]
  143. Qian, W.; Kyudong, J.; Minseog, C.; Woonbae, K.; Sukjin, H.; Byunggil, J.; Changyoul, M. Low temperature, wafer level Au-In bonding for ISM packaging. In Proceedings of the 7th International Conference on Electronic Packaging Technology, Shanghai, China, 26–29 August 2006; pp. 1–5.
  144. Zhang, W.; Matin, A.; Beyne, E.; Ruythooren, W. Optimizing Au and In micro-bumping for 3D chip stacking. In Proceedings of the 58th Electronic Components and Technology Conference, Lake Buena Vista, FL, USA, 27–30 May 2008; pp. 1984–1989.
  145. Zhang, W.; Ruythooren, W. Study of the Au/In reaction for transient liquid-phase bonding and 3D chip stacking. J. Electron. Mater. 2008, 37, 1095–1101. [Google Scholar] [CrossRef]
  146. Wang, T.B.; Shen, Z.Z.; Ye, R.Q.; Xie, X.M.; Stubhan, F.; Freytag, J. Die bonding with Au/In isothermal solidification technique. J. Electron. Mater. 2000, 29, 443–447. [Google Scholar] [CrossRef]
  147. Welch, W.C.; Najafi, K. Gold-indium transient liquid phase (TLP) wafer bonding for MEMS vacuum packaging. In Proceedings of the IEEE 21st International Conference on Micro Electro Mechanical Systems, Tucson, AZ, USA, 13–17 January 2008; pp. 806–809.
  148. Yoon-Chul, S.; Qian, W.; Suk-jin, H.; Byung-Gil, J.; Kyu-Dong, J.; Min-Seog, C.; Woon-Bae, K.; Chang-Youl, M. Wafer-level low temperature bonding with Au-In system. In Proceedings of the 57th Electronic Components and Technology Conference, Sparks, NV, USA, 29 May–1 June 2007; pp. 633–637.
  149. Lee, C.C.; Wang, C.Y.; Matijasevic, G. Au-In bonding below the eutectic temperature. IEEE Trans. Compon. Hybrids Manuf. Technol. 1993, 16, 311–316. [Google Scholar] [CrossRef]
  150. So, W.W.; Lee, C.C. Fluxless process of fabricating In-Au joints on copper substrates. IEEE Trans. Compon. Packag. Technol. 2000, 23, 377–382. [Google Scholar] [CrossRef]
  151. Bjøntegaard, J.; Buene, L.; Finstad, T.; Lønsjø, O.; Olsen, T. Low temperature interdiffusion in Au/In thin film couples. Thin Solid Films 1983, 101, 253–262. [Google Scholar] [CrossRef]
  152. Lian, J.; Chun, S.; Goorsky, M.; Wang, J. Mechanical behavior of Au-In intermetallics for low temperature solder diffusion bonding. J. Mater. Sci. 2009, 44, 6155–6161. [Google Scholar] [CrossRef]
  153. Yu, C.-F.; Cheng, H.-C.; Chen, W.-H. Structural, mechanical and thermodynamic properties of AuIn2 crystal under pressure: A first-principles density functional theory calculation. J. Alloys Compd. 2015, 619, 576–584. [Google Scholar] [CrossRef]
  154. Huffman, A. Bump interconnect for 2.5D and 3D integration. In Handbook of 3D Integration; Wiley-VCH Verlag GmbH & Co. KGaA: Weinheim, Germany, 2014; pp. 313–324. [Google Scholar]
  155. Froemel, J.; Baum, M.; Wiemer, M.; Roscher, F.; Haubold, M.; Jia, C.; Gessner, T. Investigations of thermocompression bonding with thin metal layers. In Proceedings of the 2011 16th International Solid-State Sensors, Actuators and Microsystems Conference (TRANSDUCERS), Beijing, China, 5–9 June 2011; pp. 990–993.
  156. Yun, C.H.; Martin, J.; Chen, L.; Frey, T. Clean and conductive wafer bonding for MEMS. ECS Trans. 2008, 16, 117–124. [Google Scholar]
  157. Xu, D.; Jing, E.; Xiong, B.; Wang, Y. Wafer-level vacuum packaging of micromachined thermoelectric IR sensors. IEEE Trans. Adv. Packag. 2010, 33, 904–911. [Google Scholar] [CrossRef]
  158. Tan, C.S.; Fan, J. Wafer level hermetic packaging with IMC-less Cu-Cu bonding for 3D microsystems. In Proceedings of the 2011 6th International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT), Taipei, Taiwan, 19–21 October 2011; pp. 339–342.
  159. Tan, C.S.; Peng, L.; Fan, J.; Li, H.; Gau, S. Three-dimensional wafer stacking using Cu—Cu bonding for simultaneous formation of electrical, mechanical, and hermetic bonds. IEEE Trans. Device Mater. Reliab. 2012, 12, 194–200. [Google Scholar] [CrossRef]
  160. Fan, J.; Lim, D.F.; Peng, L.; Li, K.H.; Tan, C.S. Effect of bonding temperature on hermetic seal and mechanical support of wafer-level Cu-to-Cu thermo-compression bonding for 3D integration. Microsyst. Technol. 2013, 19, 661–667. [Google Scholar] [CrossRef]
  161. Lim, D.F.; Fan, J.; Peng, L.; Leong, K.C.; Tan, C.S. Cu–Cu hermetic seal enhancement using self-assembled monolayer passivation. J. Electron. Mater. 2013, 42, 502–506. [Google Scholar] [CrossRef]
  162. Peng, L.; Fan, J.; Li, H.Y.; Gao, S.; Tan, C.S. Simultaneous formation of electrical connection, mechanical support and hermetic seal with bump-less Cu-Cu bonding for 3D wafer stacking. In Proceedings of the 2012 International Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA), Hsinchu, Taiwan, 23–25 April 2012; pp. 1–2.
  163. Peng, L.; Li, H.Y.; Lim, D.F.; Gao, S.; Tan, C.S. Thermal reliability of fine pitch cu-cu bonding with self-assembled monolayer (SAM) passivation for wafer-on-wafer 3D-stacking. In Proceedings of the 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), Lake Buena Vista, FL, USA, 31 May–3 June 2011; pp. 22–26.
  164. Tan, C.S.; Lim, D.F.; Singh, S.G.; Goulet, S.K.; Bergkvist, M. Cu–Cu diffusion bonding enhancement at low temperature by surface passivation using self-assembled monolayer of alkane-thiol. Appl. Phys. Lett. 2009, 95, 192108. [Google Scholar] [CrossRef]
  165. Peng, L.; Li, H.; Lim, D.F.; Gao, S.; Tan, C.S. High-density 3-D interconnect of Cu—Cu contacts with enhanced contact resistance by self-assembled monolayer (SAM) passivation. IEEE Trans. Electron. Devices 2011, 58, 2500–2506. [Google Scholar] [CrossRef]
  166. 13-diffusion in metals. In Smithells Metals Reference Book, 7th ed.; Brandes, E.A.; Brook, G.B. (Eds.) Butterworth-Heinemann: Oxford, UK, 1992; pp. 13-11–13-119.
  167. Morrison, H.M.; Yuen, V.L.S. Self-diffusion in gold. Can. J. Phys. 1971, 49, 2704–2709. [Google Scholar] [CrossRef]
  168. US Department of Defense, Test Methods Standard. Mil-STD-883e Method 1010.7. 1996. Available online: http://scipp.ucsc.edu/groups/fermi/electronics/mil-std-883.pdf (accessed on 25 October 2016).
  169. US Department of Defense, Test Methods Standard. Mil-STD-750eEnvironmental Test Methods for Semiconductor Devices. 2012. Available online: https://snebulos.mit.edu/projects/reference/MIL-STD/MIL-STD-750-1.pdf (accessed on 25 October 2016).
  170. Prodromides, A.E.; Scheuerlein, C.; Taborelli, M. Lowering the activation temperature of TiZrV non-evaporable getter films. Vacuum 2001, 60, 35–41. [Google Scholar] [CrossRef]
  171. Oneida Research Services. Available online: https://www.orslabs.com/ (accessed on 24 October 2016).
  172. Paquet, A.; Deshaies, S.; Desroches, Y.; Whalin, J.; Topart, P. Influence of ceramic package internal components on the performance of vacuum sealed uncooled bolometric detectors. In Proceedings of the Reliability, Packaging, Testing, and Characterization of MOEMS/MEMS and Nanodevices XII, San Francisco, CA, USA, 2 February 2013.
  173. Ko, C.-T.; Chen, K.-N. Low temperature bonding technology for 3D integration. Microelectron. Reliab. 2012, 52, 302–311. [Google Scholar] [CrossRef]
Figure 1. (a) Examples of multi-chip architecture in which vacuum-packaged microelectromechanical systems (MEMS) sensors are connected to complementary metal-oxide semiconductor (CMOS) integrated circuits (ICs) through the package substrate. Includes both two-dimensional (2D) and three-dimensional (3D) integration examples; (b) Example of system-on-chip (SoC) architecture in which wafer-level vacuum packaging (WLVP) is used to place MEMS and CMOS in the same package. Photograph of 2D multi-chip package reprinted with permission from Colibrys Ltd, (Yverdon-les Bains, Switzerland). Scanning electron microscope (SEM) image of STMicroelectronics three-axis MEMS accelerometer reprinted with permission from Chipworks [1].
Figure 1. (a) Examples of multi-chip architecture in which vacuum-packaged microelectromechanical systems (MEMS) sensors are connected to complementary metal-oxide semiconductor (CMOS) integrated circuits (ICs) through the package substrate. Includes both two-dimensional (2D) and three-dimensional (3D) integration examples; (b) Example of system-on-chip (SoC) architecture in which wafer-level vacuum packaging (WLVP) is used to place MEMS and CMOS in the same package. Photograph of 2D multi-chip package reprinted with permission from Colibrys Ltd, (Yverdon-les Bains, Switzerland). Scanning electron microscope (SEM) image of STMicroelectronics three-axis MEMS accelerometer reprinted with permission from Chipworks [1].
Sensors 16 01819 g001
Figure 2. Examples of wafer-level vacuum packaging (WLVP) process flows. (a) Monolithic example in which complementary metal-oxide semiconductor (CMOS) and microelectromechanical systems (MEMS) are capped with a passive lid wafer; (b) Heterogeneous example in which CMOS and MEMS are on separate wafers, which are bonded to form a WLVP.
Figure 2. Examples of wafer-level vacuum packaging (WLVP) process flows. (a) Monolithic example in which complementary metal-oxide semiconductor (CMOS) and microelectromechanical systems (MEMS) are capped with a passive lid wafer; (b) Heterogeneous example in which CMOS and MEMS are on separate wafers, which are bonded to form a WLVP.
Sensors 16 01819 g002
Figure 3. Diagram depicting the solder jetting process [43]. Reprinted with permission from PacTech.
Figure 3. Diagram depicting the solder jetting process [43]. Reprinted with permission from PacTech.
Sensors 16 01819 g003
Figure 4. Alternating layers of evaporated Au and Sn are used to form the Au0.8Sn0.2 eutectic bond for the wafer-level packaging (WLVP) of a microelectromechanical (MEMS) resonator [35]. (a) Cartoon depicting the embodiment; (b) Scanning electron microscope (SEM) image of the completed structure including the Au0.8Sn0.2 eutectic bond. Reprinted from ref. [35] with permission from the Institute of Electrical and Electronics Engineers (IEEE).
Figure 4. Alternating layers of evaporated Au and Sn are used to form the Au0.8Sn0.2 eutectic bond for the wafer-level packaging (WLVP) of a microelectromechanical (MEMS) resonator [35]. (a) Cartoon depicting the embodiment; (b) Scanning electron microscope (SEM) image of the completed structure including the Au0.8Sn0.2 eutectic bond. Reprinted from ref. [35] with permission from the Institute of Electrical and Electronics Engineers (IEEE).
Sensors 16 01819 g004
Figure 5. A wafer-level vacuum packaging (WLVP) approach in which Au0.82Si0.18 eutectic is formed on the cap wafer and bonded to a wafer containing complementary metal-oxide semiconductor (CMOS) elements and a Pirani vacuum sensor. (a) SUMMiT VTM thin film CMOS process; (b) Bond directly to poly-Si layer on CMOS wafer; (c) Bond to Au layer on CMOS wafer; (d) Scanning electron microscope (SEM) image of a successfully packaged sensor. [56] Reprinted with permission from Dr. Jay Mitchell.
Figure 5. A wafer-level vacuum packaging (WLVP) approach in which Au0.82Si0.18 eutectic is formed on the cap wafer and bonded to a wafer containing complementary metal-oxide semiconductor (CMOS) elements and a Pirani vacuum sensor. (a) SUMMiT VTM thin film CMOS process; (b) Bond directly to poly-Si layer on CMOS wafer; (c) Bond to Au layer on CMOS wafer; (d) Scanning electron microscope (SEM) image of a successfully packaged sensor. [56] Reprinted with permission from Dr. Jay Mitchell.
Sensors 16 01819 g005
Figure 6. (a) Heterogeneous process flow in which germanium (Ge) is deposited on the microelectromechanical (MEMS) wafer and joined to aluminum (Al) bond pads and the seal ring on the complementary metal-oxide semiconductor (CMOS) wafer. The Al0.72Ge0.28 eutectic bond creates the vacuum package, and the CMOS-to-MEMS interconnects simultaneously. Reprinted with permission from InvenSense; (b) Scanning electron microscope (SEM) image of a gyroscope fabricated using the process flow. Reprinted with permission from InvenSense.
Figure 6. (a) Heterogeneous process flow in which germanium (Ge) is deposited on the microelectromechanical (MEMS) wafer and joined to aluminum (Al) bond pads and the seal ring on the complementary metal-oxide semiconductor (CMOS) wafer. The Al0.72Ge0.28 eutectic bond creates the vacuum package, and the CMOS-to-MEMS interconnects simultaneously. Reprinted with permission from InvenSense; (b) Scanning electron microscope (SEM) image of a gyroscope fabricated using the process flow. Reprinted with permission from InvenSense.
Sensors 16 01819 g006
Figure 7. Typical solid-liquid interdiffusion (SLID) bonding process flow. Wafers are heated to a temperature below the bonding temperature, mechanical force is applied, and the wafers are heated above the low melting temperature (TL). Naming convention adopted from Hoivik et al. [86].
Figure 7. Typical solid-liquid interdiffusion (SLID) bonding process flow. Wafers are heated to a temperature below the bonding temperature, mechanical force is applied, and the wafers are heated above the low melting temperature (TL). Naming convention adopted from Hoivik et al. [86].
Sensors 16 01819 g007
Figure 8. Cu-Sn solid-liquid interdiffusion (SLID) bonding technique used to wafer-level vacuum packaging (WLVP) infrared image sensors. Window wafers with thin film getters and antireflective coatings were bonded to read-out integrated circuit (ROIC) wafers with monolithically integrated microbolometer arrays [103]. (a) Photograph of a bonded wafer pair in which window silicon (Si) in the streets between the devices has been removed to allow the devices to be probed at the wafer level; (b) Side-by-side comparison of infrared sensors packaged with the die-level and wafer-level packaging approaches; (c) Completed WLVP infrared imaging system.
Figure 8. Cu-Sn solid-liquid interdiffusion (SLID) bonding technique used to wafer-level vacuum packaging (WLVP) infrared image sensors. Window wafers with thin film getters and antireflective coatings were bonded to read-out integrated circuit (ROIC) wafers with monolithically integrated microbolometer arrays [103]. (a) Photograph of a bonded wafer pair in which window silicon (Si) in the streets between the devices has been removed to allow the devices to be probed at the wafer level; (b) Side-by-side comparison of infrared sensors packaged with the die-level and wafer-level packaging approaches; (c) Completed WLVP infrared imaging system.
Sensors 16 01819 g008
Figure 9. (a) Copper-Tin (Cu-Sn) SLID bonding used in integrated circuit (IC) interconnect bonding; (b) Cu-solid-liquid-interdiffusion (SLID) bonding used in the wafer-level vacuum packaging (WLVP) of infrared focal plane arrays.
Figure 9. (a) Copper-Tin (Cu-Sn) SLID bonding used in integrated circuit (IC) interconnect bonding; (b) Cu-solid-liquid-interdiffusion (SLID) bonding used in the wafer-level vacuum packaging (WLVP) of infrared focal plane arrays.
Sensors 16 01819 g009
Figure 10. (a) Copper-Tin (Cu-Sn) phase diagram—Cu3Sn and Cu6Sn5 intermetallic compounds (IMCs) dominate at the bonding temperatures of interest; (b) Scanning electron microscope (SEM) micrograph of a Cu-Sn layer that has been thermally aged at roughly 200 °C for hours. The micrograph depicts both IMC layers and the scalloped nature of the Cu6Sn5 layer.
Figure 10. (a) Copper-Tin (Cu-Sn) phase diagram—Cu3Sn and Cu6Sn5 intermetallic compounds (IMCs) dominate at the bonding temperatures of interest; (b) Scanning electron microscope (SEM) micrograph of a Cu-Sn layer that has been thermally aged at roughly 200 °C for hours. The micrograph depicts both IMC layers and the scalloped nature of the Cu6Sn5 layer.
Sensors 16 01819 g010
Figure 11. Example output of the copper-tin (Cu-Sn) solid-liquid interdiffusion (SLID) bonding model. Input variables include the temperature profile and thickness of metal layers. In this case, the model predicts that it will take less than 50 min to consume the Sn and roughly 75 min to convert the Cu6Sn5 into the Cu3Sn [110,111,112]. Reprinted with permission from Dr. Thi Thuy Luu.
Figure 11. Example output of the copper-tin (Cu-Sn) solid-liquid interdiffusion (SLID) bonding model. Input variables include the temperature profile and thickness of metal layers. In this case, the model predicts that it will take less than 50 min to consume the Sn and roughly 75 min to convert the Cu6Sn5 into the Cu3Sn [110,111,112]. Reprinted with permission from Dr. Thi Thuy Luu.
Sensors 16 01819 g011
Figure 12. (a) Schematic illustrating the Kirkendall void formation in the copper-tin (Cu-Sn) diffusion couple. Relative flux of Cu and Sn interdiffusion can be determined using inert markers. The diffusion rate of Cu into intermetallic compound (IMC) layers exceeds the rate of the diffusion of Sn into IMC layers leading to vacancies at the Cu/IMC interface; (b) Corresponding scanning electron microscope (SEM) micrograph depicting marker location and void formation [119]. Reprinted with permission from the Journal of Phase Equilibria and Diffusion.
Figure 12. (a) Schematic illustrating the Kirkendall void formation in the copper-tin (Cu-Sn) diffusion couple. Relative flux of Cu and Sn interdiffusion can be determined using inert markers. The diffusion rate of Cu into intermetallic compound (IMC) layers exceeds the rate of the diffusion of Sn into IMC layers leading to vacancies at the Cu/IMC interface; (b) Corresponding scanning electron microscope (SEM) micrograph depicting marker location and void formation [119]. Reprinted with permission from the Journal of Phase Equilibria and Diffusion.
Sensors 16 01819 g012
Figure 13. (a) Au-Sn phase diagram. Six different intermetallic compound (IMC) layers can form during Au-Sn solid-liquid interdiffusion (SLID) bonding; (b) Scanning electron microscope (SEM) image of Au-Sn SLID seal [123]. Reprinted with permission from the Minerals, Metals, and Materials Society.
Figure 13. (a) Au-Sn phase diagram. Six different intermetallic compound (IMC) layers can form during Au-Sn solid-liquid interdiffusion (SLID) bonding; (b) Scanning electron microscope (SEM) image of Au-Sn SLID seal [123]. Reprinted with permission from the Minerals, Metals, and Materials Society.
Sensors 16 01819 g013
Figure 14. (a) Gold-Indium (Au-In) phase diagram; (b) Scanning electron microscope (SEM) micrograph depicting a seal structure with four different Au-In intermetallic compound (IMC) phases. Au-In-Nickel (Ni) IMC is shown in phase 1, likely the γ′ Au-In in phase 2, likely AuIn in phase 3, and AuIn2 in phase 4 [148]. Reprinted with permission from the Institute of Electrical and Electronics Engineers (IEEE).
Figure 14. (a) Gold-Indium (Au-In) phase diagram; (b) Scanning electron microscope (SEM) micrograph depicting a seal structure with four different Au-In intermetallic compound (IMC) phases. Au-In-Nickel (Ni) IMC is shown in phase 1, likely the γ′ Au-In in phase 2, likely AuIn in phase 3, and AuIn2 in phase 4 [148]. Reprinted with permission from the Institute of Electrical and Electronics Engineers (IEEE).
Sensors 16 01819 g014
Figure 15. (a) Scanning electron microscope (SEM) micrographs of copper (Cu)-Cu bonded interconnect; (b) SEM micrographs of Cu-Cu bonded hermetic seal [160]. Figure 15b reprinted with permission from Microsystem Technologies.
Figure 15. (a) Scanning electron microscope (SEM) micrographs of copper (Cu)-Cu bonded interconnect; (b) SEM micrographs of Cu-Cu bonded hermetic seal [160]. Figure 15b reprinted with permission from Microsystem Technologies.
Sensors 16 01819 g015
Figure 16. Relationship between the package volume, the leak rate, and the time for the pressure to rise to 1 atmosphere (atm). Small package volumes used in state-of-the-art sensors leak up to atmospheric pressure in seconds to minutes [17]. Reprinted with permission from the Society of Photo-optical Instrumentation Engineers (SPIE).
Figure 16. Relationship between the package volume, the leak rate, and the time for the pressure to rise to 1 atmosphere (atm). Small package volumes used in state-of-the-art sensors leak up to atmospheric pressure in seconds to minutes [17]. Reprinted with permission from the Society of Photo-optical Instrumentation Engineers (SPIE).
Sensors 16 01819 g016
Figure 17. Images of window wafers fabricated for the wafer-level vacuum packaging (WLVP) of infrared imagers. The window wafers were coated with a thin film getter that was patterned using shadow masks during the deposition [103]. (a) Optical micrograph showing the location a titanium (Ti)-based thin film getter; (b) Optical image depicting a zirconium (Zr)-based getter.
Figure 17. Images of window wafers fabricated for the wafer-level vacuum packaging (WLVP) of infrared imagers. The window wafers were coated with a thin film getter that was patterned using shadow masks during the deposition [103]. (a) Optical micrograph showing the location a titanium (Ti)-based thin film getter; (b) Optical image depicting a zirconium (Zr)-based getter.
Sensors 16 01819 g017
Figure 18. Spectra data from a high-resolution internal vapor analysis (HR-IVA) that was converted into partial and total package pressure values [103].
Figure 18. Spectra data from a high-resolution internal vapor analysis (HR-IVA) that was converted into partial and total package pressure values [103].
Sensors 16 01819 g018
Table 1. Comparison of traditional wafer bonding approaches used for discrete microelectromechanical systems (MEMS) with metal bonding approaches more suitable for wafer-level vacuum packaging (WLVP) of smart sensors.
Table 1. Comparison of traditional wafer bonding approaches used for discrete microelectromechanical systems (MEMS) with metal bonding approaches more suitable for wafer-level vacuum packaging (WLVP) of smart sensors.
Comparison of Bonding Approaches for Smart Sensors
Bonding ApproachBonding Temperature (°C)Topography ToleranceOutgassingSubstrate FlexibilitySeal AreaCMOS Compatibility
Traditional ApproachesAnodic300–450LowHighLowLowPoor
Direct>800Very lowMidLowLowPoor
Glass frit430–450HighHighLowHighGood
Metal Bonding ApproachesEutectic>200HighLowHighLowGood
SLID>200HighLowHighLowGood
Metal-to-metal>250LowLowHighLowGood
Table 2. Advantages and disadvantages of the various, metal-based, wafer-level vacuum packaging (WLVP) approaches.
Table 2. Advantages and disadvantages of the various, metal-based, wafer-level vacuum packaging (WLVP) approaches.
Comparison of Metal-Based Bonding Approaches
Bonding ApproachMain AdvantagesMain Disadvantages
Eutectic alloy
  • Ductile seal
  • Complex deposition
  • Bonding temperature dependent on alloy stoichiometry
  • Under-seal metal (USM) can react with eutectic alloy
SLID
  • Mature deposition and bonding processes
  • Re-melting temperature is greater than bonding temperature
  • Lack of ductility in seal
Metal-to-metal
  • Mature deposition and bonding processes
  • Relatively simple deposition and metallurgy
  • No collapse layer to absorb topography
  • Oxide removal more critical
  • Generally requires higher force and temperature during bonding
Table 3. List of the most commonly used and applicable eutectic alloys.
Table 3. List of the most commonly used and applicable eutectic alloys.
Eutectic Bonding Alloys and Corresponding Eutectic Temperatures
AlloyEutectic Temperature (°C)AdvantagesDisadvantages
Au0.8Sn0.2280
  • Relative maturity
  • Fluxless bonding
  • Deposition options
  • Steep eutectic liquidus lines
  • USM integration challenging
Au0.82Si0.18363
  • Ease of deposition
  • Long bonding times
  • USM integration challenging
Al0.72Ge0.28423
  • Seal materials compatible with legacy CMOS processes
  • Bonding temperatures at or near CMOS limits
  • Difficult to remove native oxide
Table 4. Comparison of various Au0.8Sn0.2 deposition methods.
Table 4. Comparison of various Au0.8Sn0.2 deposition methods.
Comparison of Gold-Tin (Au-Sn) Eutectic Deposition Methods
Deposition MethodMain AdvantagesMain Disadvantages
Ball laser jetting
  • Composition control
  • Difficult to precisely control seal geometry
  • Serial deposition method
Electroplating Au0.8Sn0.2 alloy
  • Dimensional control
  • Poor compositional control
  • Poor bath stability
Alternating layers of Au and Sn
  • Dimensional control
  • Layer thickness must be controlled to achieve composition target
Table 5. Materials properties of copper (Cu)–solid-liquid interdiffusion (SLID) compounds compared to solders commonly used in the integrated circuit (IC) industry [86,126,127].
Table 5. Materials properties of copper (Cu)–solid-liquid interdiffusion (SLID) compounds compared to solders commonly used in the integrated circuit (IC) industry [86,126,127].
Materials Properties of Copper-Tin (Cu-Sn) Solid-Liquid Interdiffusion (SLID) Compounds and Common Solders
MetallurgyBonding Temperature (°C)CompoundElastic Modulus (GPa)Yield Strength (MPa)CTE (ppm K−1)Melting Temperature (°C)
Cu-Sn SLID>230Cu110180171084
Sn413523232
Cu3Sn(ɛ phase)79–153178719676
Cu6Sn5(ɲ phase)84–119200916415
Common Solders>185Pb0.38Sn0.62 wt%15.730.218.7183
>220SnAg0.03 wt%26.25020221
Table 6. Properties of gold-tin (Au-Sn) solid-liquid interdiffusion (SLID) bonding compounds [86,126].
Table 6. Properties of gold-tin (Au-Sn) solid-liquid interdiffusion (SLID) bonding compounds [86,126].
Materials Properties of Compounds Formed During Slid Bonding
MetallurgyBonding Temperature (°C)CompoundElastic Modulus (GPa)CTE (ppm K−1)Melting Temperature (°C)
Gold-Tin (Au-Sn) Solid-Liquid Interdiffusion (SLID)>280Au77.214.41064
Sn4123232
AuSn (δ phase)70–10114419
Eutectic AuSn (mixture of δ and ζ’)69–7416278
Au5Sn (ζ’ phase)62–7618190
AuSn0.18–0.10 at % (ζ phase)5820519
Au10Sn (β phase)88N/A532
Table 7. Properties of metals used in metal thermocompression bonding [166,167].
Table 7. Properties of metals used in metal thermocompression bonding [166,167].
Properties of Commonly Used Materials for Metal-to-Metal Thermocompression Bonding
MetalBonding Temperature (°C)Self-Diffusivity (m2/s)Activation Energy (eV)CTE (μm/m·K at 25 °C)Melting Temperature (°C)
Aluminum (Al)>4004.2 × 10−19 (at 500 °C)1.4923.1660
Copper (Cu)>3504.2 × 10−14 (at 500 °C)2.1916.51084
Gold (Au)>2601.0 × 10−18–1.0 × 10−19 (at 400 °C)1.8114.21064
Table 8. Summary of the metal-based bonding solutions discussed in this review.
Table 8. Summary of the metal-based bonding solutions discussed in this review.
Summary of Bonding Approaches Reviewed
Bonding TypeMaterialBonding Temperature (°C)Primary AdvantagesPrimary Disadvantages
EutecticAu0.8Sn0.2>280
  • Relative maturity
  • Fluxless bonding
  • Deposition options
  • Steep eutectic liquidus lines
  • Challenging under-seal metal (USM) integration
Au0.82Si0.18>363
  • Ease of deposition
  • Complex diffusion barrier
  • Challenging USM integration
Al0.72Ge0.28>423
  • Compatibility of seal materials with legacy complementary metal-oxide semiconductor (CMOS) processes
  • Production process available in CMOS foundries
  • Bonding temperatures at or near CMOS limits
  • Difficulty in removing native oxide
Solid-liquid diffusion (SLID)Cu-Sn>232
  • Relative maturity
  • Stiffness of final bonding materials
Au-Sn>280
  • Low modulus bonding materials and high remelting temperature (good candidate for devices with high operating temperatures)
  • Complex phase diagram
  • USM integration challenging due to high rate of Au consumption
Au-In>156
  • Low bonding temperature
  • Complex phase diagram
  • Premature mixing of bond materials
Metal-to-MetalAl>400
  • Standard CMOS pad material
  • Difficulty in removing native oxide
  • High bonding temperature
  • Sensitivity to particles and topography
Cu>350
  • Relative maturity
  • Simplicity
  • Sensitivity to particles and topography
Au>260
  • No native oxide
  • Relative maturity
  • Simplicity
  • Sensitivity to particles and topography

Share and Cite

MDPI and ACS Style

Hilton, A.; Temple, D.S. Wafer-Level Vacuum Packaging of Smart Sensors. Sensors 2016, 16, 1819. https://doi.org/10.3390/s16111819

AMA Style

Hilton A, Temple DS. Wafer-Level Vacuum Packaging of Smart Sensors. Sensors. 2016; 16(11):1819. https://doi.org/10.3390/s16111819

Chicago/Turabian Style

Hilton, Allan, and Dorota S. Temple. 2016. "Wafer-Level Vacuum Packaging of Smart Sensors" Sensors 16, no. 11: 1819. https://doi.org/10.3390/s16111819

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop